OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [basal/] [sim/] [tests/] [tb_fifo/] [fifo_agent.svh] - Blame information for rev 44

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 44 qaztronic
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
//// Copyright (C) 2018 Authors and OPENCORES.ORG                 ////
4
////                                                              ////
5
//// This source file may be used and distributed without         ////
6
//// restriction provided that this copyright statement is not    ////
7
//// removed from the file and that any derivative work contains  ////
8
//// the original copyright notice and the associated disclaimer. ////
9
////                                                              ////
10
//// This source file is free software; you can redistribute it   ////
11
//// and/or modify it under the terms of the GNU Lesser General   ////
12
//// Public License as published by the Free Software Foundation; ////
13
//// either version 2.1 of the License, or (at your option) any   ////
14
//// later version.                                               ////
15
////                                                              ////
16
//// This source is distributed in the hope that it will be       ////
17
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
18
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
19
//// PURPOSE.  See the GNU Lesser General Public License for more ////
20
//// details.                                                     ////
21
////                                                              ////
22
//// You should have received a copy of the GNU Lesser General    ////
23
//// Public License along with this source; if not, download it   ////
24
//// from http://www.opencores.org/lgpl.shtml                     ////
25
////                                                              ////
26
//////////////////////////////////////////////////////////////////////
27
 
28
class fifo_agent
29
  extends uvm_agent;
30
   `uvm_component_utils(fifo_agent)
31
 
32
  // --------------------------------------------------------------------
33
  virtual fifo_if #(.W(W), .D(D)) vif;
34
  fifo_driver driver_h;
35
  fifo_sequencer sequencer_h;
36
  fifo_monitor monitor_h;
37
 
38
  // --------------------------------------------------------------------
39
  virtual function void build_phase(uvm_phase phase);
40
    super.build_phase(phase);
41
    driver_h = fifo_driver::type_id::create("driver_h", this);
42
    monitor_h = fifo_monitor ::type_id::create("monitor_h", this);
43
    sequencer_h = fifo_sequencer::type_id::create("sequencer_h", this);
44
 
45
  endfunction
46
 
47
  // --------------------------------------------------------------------
48
  virtual function void connect_phase(uvm_phase phase);
49
    super.connect_phase(phase);
50
 
51
    driver_h.vif = vif;
52
    monitor_h.vif = vif;
53
 
54
    driver_h.seq_item_port.connect(sequencer_h.seq_item_export);
55
  endfunction
56
 
57
  // --------------------------------------------------------------------
58
   function new(string name, uvm_component parent);
59
      super.new(name, parent);
60
   endfunction
61
 
62
// --------------------------------------------------------------------
63
endclass : fifo_agent

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.