OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [basal/] [sim/] [tests/] [tb_fifo/] [fifo_driver.svh] - Blame information for rev 44

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 44 qaztronic
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
//// Copyright (C) 2018 Authors and OPENCORES.ORG                 ////
4
////                                                              ////
5
//// This source file may be used and distributed without         ////
6
//// restriction provided that this copyright statement is not    ////
7
//// removed from the file and that any derivative work contains  ////
8
//// the original copyright notice and the associated disclaimer. ////
9
////                                                              ////
10
//// This source file is free software; you can redistribute it   ////
11
//// and/or modify it under the terms of the GNU Lesser General   ////
12
//// Public License as published by the Free Software Foundation; ////
13
//// either version 2.1 of the License, or (at your option) any   ////
14
//// later version.                                               ////
15
////                                                              ////
16
//// This source is distributed in the hope that it will be       ////
17
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
18
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
19
//// PURPOSE.  See the GNU Lesser General Public License for more ////
20
//// details.                                                     ////
21
////                                                              ////
22
//// You should have received a copy of the GNU Lesser General    ////
23
//// Public License along with this source; if not, download it   ////
24
//// from http://www.opencores.org/lgpl.shtml                     ////
25
////                                                              ////
26
//////////////////////////////////////////////////////////////////////
27
 
28
class fifo_driver
29
  extends uvm_driver #(fifo_sequence_item);
30
   `uvm_component_utils(fifo_driver)
31
 
32
  // --------------------------------------------------------------------
33
  virtual fifo_if #(.W(W), .D(D)) vif;
34
 
35
  //--------------------------------------------------------------------
36
  function void set_default;
37
    vif.cb.wr_en <= 0;
38
    vif.cb.rd_en <= 0;
39
    vif.cb.wr_data <= 'x;
40
  endfunction: set_default
41
 
42
  //--------------------------------------------------------------------
43
  virtual task run_phase(uvm_phase phase);
44
    fifo_sequence_item item;
45
    super.run_phase(phase);
46
 
47
    set_default();
48
 
49
    forever
50
    begin
51
      wait(~vif.cb.reset);
52
      vif.zero_cycle_delay();
53
      seq_item_port.get_next_item(item);
54
 
55
      repeat(item.delay) @(vif.cb);
56
 
57
      if((item.command == FIFO_WR) || (item.command == FIFO_BOTH))
58
      begin
59
        if(vif.wr_full)
60
          `uvm_error(get_name(), "writing to full FIFO!")
61
        vif.cb.wr_data <= item.wr_data;
62
        vif.cb.wr_en <= 1;
63
      end
64
 
65
      if((item.command == FIFO_RD) || (item.command == FIFO_BOTH))
66
      begin
67
        if(vif.rd_empty)
68
          `uvm_error(get_name(), "reading empty FIFO!")
69
        item.rd_data = vif.cb.rd_data;
70
        vif.cb.rd_en <= 1;
71
      end
72
 
73
      @(vif.cb);
74
      item.wr_full = vif.wr_full;
75
      item.rd_empty = vif.rd_empty;
76
      item.count = vif.count;
77
      set_default();
78
      seq_item_port.item_done();
79
    end
80
  endtask : run_phase
81
 
82
  //--------------------------------------------------------------------
83
  function new(string name, uvm_component parent);
84
    super.new(name, parent);
85
  endfunction
86
 
87
// --------------------------------------------------------------------
88
endclass : fifo_driver

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.