OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [basal/] [sim/] [tests/] [tb_fifo/] [fifo_if.sv] - Blame information for rev 44

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 44 qaztronic
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
//// Copyright (C) 2018 Authors and OPENCORES.ORG                 ////
4
////                                                              ////
5
//// This source file may be used and distributed without         ////
6
//// restriction provided that this copyright statement is not    ////
7
//// removed from the file and that any derivative work contains  ////
8
//// the original copyright notice and the associated disclaimer. ////
9
////                                                              ////
10
//// This source file is free software; you can redistribute it   ////
11
//// and/or modify it under the terms of the GNU Lesser General   ////
12
//// Public License as published by the Free Software Foundation; ////
13
//// either version 2.1 of the License, or (at your option) any   ////
14
//// later version.                                               ////
15
////                                                              ////
16
//// This source is distributed in the hope that it will be       ////
17
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
18
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
19
//// PURPOSE.  See the GNU Lesser General Public License for more ////
20
//// details.                                                     ////
21
////                                                              ////
22
//// You should have received a copy of the GNU Lesser General    ////
23
//// Public License along with this source; if not, download it   ////
24
//// from http://www.opencores.org/lgpl.shtml                     ////
25
////                                                              ////
26
//////////////////////////////////////////////////////////////////////
27
 
28
interface
29
  fifo_if
30
  #(
31
    W,
32
    D,
33
    UB = $clog2(D)
34
  )
35
  (
36
    input reset,
37
    input clk
38
  );
39
  import uvm_pkg::*;
40
  `include "uvm_macros.svh"
41
  import tb_fifo_pkg::*;
42
 
43
  // --------------------------------------------------------------------
44
  wire          wr_full;
45
  wire  [W-1:0] wr_data;
46
  wire          wr_en;
47
  wire          rd_empty;
48
  wire  [W-1:0] rd_data;
49
  wire          rd_en;
50
  wire  [UB:0]  count;
51
 
52
  // --------------------------------------------------------------------
53
  default clocking cb @(posedge clk);
54
    input reset;
55
    input wr_full;
56
    input rd_empty;
57
    input rd_data;
58
    input count;
59
    inout rd_en;
60
    inout wr_en;
61
    inout wr_data;
62
  endclocking
63
 
64
  // --------------------------------------------------------------------
65
  task zero_cycle_delay;
66
    ##0;
67
  endtask: zero_cycle_delay
68
 
69
// --------------------------------------------------------------------
70
endinterface

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.