OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [camera_link/] [sim/] [src/] [tb_channel_link_rx_if.sv] - Blame information for rev 28

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 28 qaztronic
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
//// Copyright (C) 2015 Authors and OPENCORES.ORG                 ////
4
////                                                              ////
5
//// This source file may be used and distributed without         ////
6
//// restriction provided that this copyright statement is not    ////
7
//// removed from the file and that any derivative work contains  ////
8
//// the original copyright notice and the associated disclaimer. ////
9
////                                                              ////
10
//// This source file is free software; you can redistribute it   ////
11
//// and/or modify it under the terms of the GNU Lesser General   ////
12
//// Public License as published by the Free Software Foundation; ////
13
//// either version 2.1 of the License, or (at your option) any   ////
14
//// later version.                                               ////
15
////                                                              ////
16
//// This source is distributed in the hope that it will be       ////
17
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
18
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
19
//// PURPOSE.  See the GNU Lesser General Public License for more ////
20
//// details.                                                     ////
21
////                                                              ////
22
//// You should have received a copy of the GNU Lesser General    ////
23
//// Public License along with this source; if not, download it   ////
24
//// from http://www.opencores.org/lgpl.shtml                     ////
25
////                                                              ////
26
//////////////////////////////////////////////////////////////////////
27 27 qaztronic
 
28
 
29
interface
30
  tb_channel_link_rx_if
31
  (
32
    input [3:0]  p,
33
    input [3:0]  n,
34
    input [4:0]  invert_mux,
35
    input        reset,
36
    input        clk_p,
37
    input        clk_n
38
  );
39
 
40
    // --------------------------------------------------------------------
41
    //
42
    timeunit 1ps / 1ps;
43
    time clk_period = 0;
44
    time clk_7x_period = 0;
45
    time strobe_delay = 0;
46
 
47
 
48
    // --------------------------------------------------------------------
49
    //
50
    int bit_index;
51
    logic clk_7x;
52
    wire tx_clk = invert_mux[4] ? ~clk_p : clk_p;
53
 
54
 
55
    // --------------------------------------------------------------------
56
    //
57
    default clocking cb @(posedge tx_clk);
58
      input p;
59
      input n;
60
      input reset;
61
    endclocking
62
 
63
 
64
    // --------------------------------------------------------------------
65
    //
66
    localparam B = 7;
67
 
68
 
69
    // --------------------------------------------------------------------
70
    //
71
    task
72
      generate_clocks
73
      (
74
        time clk_7x_period,
75
        time strobe_delay
76
      );
77
 
78
        bit_index = 5;
79
 
80
        forever
81
          @(cb)
82
          begin
83
 
84
            #strobe_delay;
85
            clk_7x <= 1;
86
 
87
            generate_clk_7x_fork : fork
88
            begin
89
              repeat((B * 2) - 1)
90
              begin
91
                #(clk_7x_period / 2);
92
                clk_7x <= ~clk_7x;
93
 
94
                if(clk_7x == 1)
95
                  if(bit_index == 6)
96
                    bit_index = 0;
97
                  else
98
                    bit_index++;
99
 
100
              end
101
            end
102
            join_none
103
 
104
          end
105
 
106
    endtask: generate_clocks
107
 
108
 
109
    // --------------------------------------------------------------------
110
    //
111
    logic [6:0] rx_in [4];
112
 
113
    always @(posedge clk_7x)
114
    begin
115
      rx_in[3][bit_index] <= invert_mux[3] ? ~p[3] : p[3];
116
      rx_in[2][bit_index] <= invert_mux[2] ? ~p[2] : p[2];
117
      rx_in[1][bit_index] <= invert_mux[1] ? ~p[1] : p[1];
118
      rx_in[0][bit_index] <= invert_mux[0] ? ~p[0] : p[0];
119
    end
120
 
121
 
122
    // --------------------------------------------------------------------
123
    //
124
    logic [27:0] rx_data;
125
 
126
    always @(posedge clk_7x)
127
      if(bit_index == 6)
128
      begin
129
        //        6           5             4           3             2           1             0
130
        {rx_data[27], rx_data[ 5], rx_data[10], rx_data[11], rx_data[16], rx_data[17], rx_data[23]} <= {invert_mux[3] ? ~p[3] : p[3], rx_in[3][5:0]};
131
        {rx_data[19], rx_data[20], rx_data[21], rx_data[22], rx_data[24], rx_data[25], rx_data[26]} <= {invert_mux[2] ? ~p[2] : p[2], rx_in[2][5:0]};
132
        {rx_data[ 8], rx_data[ 9], rx_data[12], rx_data[13], rx_data[14], rx_data[15], rx_data[18]} <= {invert_mux[1] ? ~p[1] : p[1], rx_in[1][5:0]};
133
        {rx_data[ 0], rx_data[ 1], rx_data[ 2], rx_data[ 3], rx_data[ 4], rx_data[ 6], rx_data[ 7]} <= {invert_mux[0] ? ~p[0] : p[0], rx_in[0][5:0]};
134
      end
135
 
136
 
137
    // --------------------------------------------------------------------
138
    //
139
    wire        dval       = rx_data[26];
140
    wire        fval       = rx_data[25];
141
    wire        lval       = rx_data[24];
142
    wire        spare      = rx_data[23];
143
    wire [7:0]  port_a_d_g = {rx_data[5],      rx_data[27],    rx_data[6], rx_data[4:0]};
144
    wire [7:0]  port_b_e_h = {rx_data[11],     rx_data[10],    rx_data[14:12], rx_data[9:7]};
145
    wire [7:0]  port_c_f   = {rx_data[17:16],  rx_data[22:18], rx_data[15]};
146
 
147
 
148
    // --------------------------------------------------------------------
149
    //
150
    task
151
      init_clocks;
152
 
153
        time clk_rise_t;
154
 
155
        @(cb iff ~reset);
156
        clk_rise_t = $time;
157
 
158
        @(cb iff ~reset);
159
        clk_period  = $time - clk_rise_t;
160
        clk_7x_period = clk_period / B;
161
        strobe_delay = clk_7x_period / 2;
162
 
163
        $display("^^^ %16.t | %m | clk_period     = %t, ", $time, clk_period);
164
        $display("^^^ %16.t | %m | clk_7x_period  = %t", $time, clk_7x_period);
165
        $display("^^^ %16.t | %m | strobe_delay   = %t", $time, strobe_delay);
166
 
167
        generate_clocks_fork : fork
168
          generate_clocks(clk_7x_period, strobe_delay);
169
        join_none
170
 
171
    endtask: init_clocks
172
 
173
 
174
    // --------------------------------------------------------------------
175
    //
176
    initial
177
    begin
178
 
179
      wait(~reset);
180
      #500ns;
181
 
182
      init_clocks();
183
    end
184
 
185
 
186
    // --------------------------------------------------------------------
187
    //
188
 
189
endinterface
190
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.