OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [scripts/] [sim_procs.do] - Blame information for rev 23

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 23 qaztronic
# //////////////////////////////////////////////////////////////////////
2
# ////                                                              ////
3
# //// Copyright (C) 2015 Authors and OPENCORES.ORG                 ////
4
# ////                                                              ////
5
# //// This source file may be used and distributed without         ////
6
# //// restriction provided that this copyright statement is not    ////
7
# //// removed from the file and that any derivative work contains  ////
8
# //// the original copyright notice and the associated disclaimer. ////
9
# ////                                                              ////
10
# //// This source file is free software; you can redistribute it   ////
11
# //// and/or modify it under the terms of the GNU Lesser General   ////
12
# //// Public License as published by the Free Software Foundation; ////
13
# //// either version 2.1 of the License, or (at your option) any   ////
14
# //// later version.                                               ////
15
# ////                                                              ////
16
# //// This source is distributed in the hope that it will be       ////
17
# //// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
18
# //// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
19
# //// PURPOSE.  See the GNU Lesser General Public License for more ////
20
# //// details.                                                     ////
21
# ////                                                              ////
22
# //// You should have received a copy of the GNU Lesser General    ////
23
# //// Public License along with this source; if not, download it   ////
24
# //// from http://www.opencores.org/lgpl.shtml                     ////
25
# ////                                                              ////
26
# //////////////////////////////////////////////////////////////////////
27
 
28
 
29
# ------------------------------------
30
#
31
proc sim_compile_all { target } {
32
 
33
  global env
34
 
35
  echo "INFO: compiling $target rtl"
36
 
37
  foreach filename [glob -nocomplain -directory ../../libs/${target}_verilog/ *.f] {
38
    echo "INFO: compiling $filename"
39
    vlog -f $filename
40
  }
41
 
42
  foreach filename [glob -nocomplain -directory ../../libs/${target}_VHDL/ *.f] {
43
    echo "INFO: compiling $filename"
44
    vcom -explicit -f $filename
45
  }
46
}
47
 
48
 
49
# ------------------------------------
50
#
51
proc sim_run_sim {  } {
52
 
53
  if {[file exists ./sim.do]} {
54
    do ./sim.do
55
  } elseif {[file exists ../../libs/sim.do]} {
56
    do ../../libs/sim.do
57
  } elseif {[file exists ../../libs/altera_sim.f]} {
58
    vsim -novopt -f ../../libs/altera_sim.f -l transcript.txt work.tb_top
59
  } elseif {[file exists ../../libs/xilinx_sim.f]} {
60
    vsim -novopt -f ../../libs/xilinx_sim.f -l transcript.txt work.tb_top work.glbl
61
  }
62
 
63
  if { [file exists ./wave.do] } {
64
    do ./wave.do
65
  }
66
}
67
 
68
 
69
# ------------------------------------
70
#
71
proc sim_run_test {  } {
72
 
73
  global env
74
 
75
  # unique setup
76
  if { [file exists ./setup_test.do] } {
77
    do ./setup_test.do
78
  }
79
 
80
  if { [info exists env(MAKEFILE_TEST_RUN)] } {
81
    vlog +define+MAKEFILE_TEST_RUN ../../src/tb_top.v
82
  } else {
83
    sim_run_sim
84
  }
85
 
86
  run -all
87
}
88
 
89
 
90
# ------------------------------------
91
#
92
proc sim_restart {  } {
93
 
94
  global env
95
 
96
  # work in progress files to compile
97
  if { [file exists ./wip.do] } {
98
    echo "INFO: found ./wip.do"
99
    do ./wip.do
100
  }
101
 
102
  if { [string equal nodesign [runStatus]] } {
103
    sim_run_sim
104
  } else {
105
    restart -force
106
  }
107
 
108
  run -all
109
}
110
 
111
 
112
# ------------------------------------
113
#
114
proc make_lib { lib {rebuild 0} } {
115
 
116
  if {[file exists $lib/_info]} {
117
    echo "INFO: Simulation library $lib already exists"
118
 
119
    if { $rebuild != 0 } {
120
      echo "INFO: Rebuilding library. Deleting ./$lib and recompiling all"
121
      quit -sim
122
      file delete -force ./$lib
123
      vlib $lib
124
      vmap $lib $lib
125
    }
126
 
127
  } else {
128
      vlib $lib
129
      vmap $lib $lib
130
  }
131
}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.