OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [video/] [src/] [avf_line_buffer_row.sv] - Blame information for rev 49

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 49 qaztronic
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
//// Copyright (C) 2019 Authors and OPENCORES.ORG                 ////
4
////                                                              ////
5
//// This source file may be used and distributed without         ////
6
//// restriction provided that this copyright statement is not    ////
7
//// removed from the file and that any derivative work contains  ////
8
//// the original copyright notice and the associated disclaimer. ////
9
////                                                              ////
10
//// This source file is free software; you can redistribute it   ////
11
//// and/or modify it under the terms of the GNU Lesser General   ////
12
//// Public License as published by the Free Software Foundation; ////
13
//// either version 2.1 of the License, or (at your option) any   ////
14
//// later version.                                               ////
15
////                                                              ////
16
//// This source is distributed in the hope that it will be       ////
17
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
18
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
19
//// PURPOSE.  See the GNU Lesser General Public License for more ////
20
//// details.                                                     ////
21
////                                                              ////
22
//// You should have received a copy of the GNU Lesser General    ////
23
//// Public License along with this source; if not, download it   ////
24
//// from http://www.opencores.org/lgpl.shtml                     ////
25
////                                                              ////
26
//////////////////////////////////////////////////////////////////////
27
 
28
module
29
  avf_line_buffer_row #(N, W, AW, EOL_TO_PASS)
30
  (
31
    axis_if axis_in,
32
    axis_if axis_out,
33
    input   enable,
34
    output  zero_pad,
35
    output  initialized,
36
    input   aclk,
37
    input   aresetn
38
  );
39
 
40
  // --------------------------------------------------------------------
41
  wire in_eol = axis_in.tlast & axis_in.tready & axis_in.tvalid;
42
  wire in_eof = axis_in.tuser[2] & axis_in.tready & axis_in.tvalid;
43
  wire primed;
44
 
45
  // --------------------------------------------------------------------
46
  generate
47
  begin: counter_gen
48
    if(EOL_TO_PASS == 0)
49
    begin: first_line_gen // don't let any EOL pass through.
50
      // ---------------- // The trailing FIFO is primed by the first line.
51
      assign primed = in_eol;
52
    end
53
    else
54
    begin: remainder_lines_gen
55
      // --------------------------------------------------------------------
56
      reg [$clog2(EOL_TO_PASS)-1:0] eol_count;
57
      assign primed = (eol_count == EOL_TO_PASS) & in_eol;
58
 
59
      always_ff @(posedge aclk)
60
        if(~aresetn | primed)
61
          eol_count <= 0;
62
        else if(in_eol)
63
          eol_count <= eol_count + 1;
64
    end
65
  end
66
  endgenerate
67
 
68
  // --------------------------------------------------------------------
69
  localparam UB = $clog2(AW*2);
70
  localparam D = 2**UB;
71
 
72
  // --------------------------------------------------------------------
73
  wire [UB:0] count;
74
  wire wr_full;
75
  wire rd_empty;
76
  wire [W-1:0] wr_data = {axis_in.tlast, axis_in.tuser, axis_in.tdata};
77
  wire wr_en = axis_in.tready & axis_in.tvalid;
78
  wire rd_en = axis_out.tready & axis_out.tvalid;
79
  wire [W-1:0] rd_data;
80
  assign {axis_out.tlast, axis_out.tuser, axis_out.tdata} = rd_data;
81
 
82
  sync_fifo #(W, D) fifo_i(.clk(aclk), .reset(~aresetn), .*);
83
 
84
  // --------------------------------------------------------------------
85
  enum reg [3:0]
86
    {
87
      PRIME       = 4'b0001,
88
      INITIALIZED = 4'b0010,
89
      READY       = 4'b0100,
90
      FLUSH       = 4'b1000
91
    } state, next_state;
92
 
93
  // --------------------------------------------------------------------
94
  always_ff @(posedge aclk)
95
    if(~aresetn)
96
      state <= PRIME;
97
    else
98
      state <= next_state;
99
 
100
  // --------------------------------------------------------------------
101
  always_comb
102
    case(state)
103
      PRIME:        if(primed)
104
                      next_state = INITIALIZED;
105
                    else
106
                      next_state = PRIME;
107
 
108
      INITIALIZED:  if(enable)
109
                      next_state = READY;
110
                    else
111
                      next_state = INITIALIZED;
112
 
113
      READY:        if(in_eof)
114
                      next_state = FLUSH;
115
                    else
116
                      next_state = READY;
117
 
118
      FLUSH:        if(rd_empty)
119
                      next_state = PRIME;
120
                    else
121
                      next_state = FLUSH;
122
 
123
      default:      next_state = PRIME;
124
    endcase
125
 
126
  // --------------------------------------------------------------------
127
  generate
128
    if(EOL_TO_PASS == 0) // no pass through for trailing FIFO
129
    begin: first_line_gen
130
      assign axis_out.tvalid = ~rd_empty & ((state == READY) | (state == FLUSH));
131
    end
132
    else
133
    begin: remainder_lines_gen
134
      assign axis_out.tvalid = ~rd_empty & ((state == PRIME) | (state == READY) | (state == FLUSH));
135
    end
136
  endgenerate
137
 
138
  // --------------------------------------------------------------------
139
  assign axis_in.tready = ~wr_full & ((state == PRIME) | (state == READY));
140
  assign zero_pad = (state == PRIME) | (state == INITIALIZED);
141
  assign initialized = (state == INITIALIZED);
142
 
143
// --------------------------------------------------------------------
144
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.