OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [zed_board/] [syn/] [axi_lite_to_wb/] [axi_lite_to_wb.tcl] - Blame information for rev 27

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 27 qaztronic
#
2
# Vivado (TM) v2016.2 (64-bit)
3
#
4
# axi_lite_to_wb.tcl: Tcl script for re-creating project 'axi_lite_to_wb'
5
#
6
# Generated by Vivado on Sat Sep 17 17:23:43 -0700 2016
7
# IP Build 1577682 on Fri Jun  3 12:00:54 MDT 2016
8
#
9
# This file contains the Vivado Tcl commands for re-creating the project to the state*
10
# when this script was generated. In order to re-create the project, please source this
11
# file in the Vivado Tcl Shell.
12
#
13
# * Note that the runs in the created project will be configured the same way as the
14
#   original project, however they will not be launched automatically. To regenerate the
15
#   run results please launch the synthesis/implementation runs as needed.
16
#
17
#*****************************************************************************************
18
# NOTE: In order to use this script for source control purposes, please make sure that the
19
#       following files are added to the source control system:-
20
#
21
# 1. This project restoration tcl script (axi_lite_to_wb.tcl) that was generated.
22
#
23
# 2. The following source(s) files that were local or imported into the original project.
24
#    (Please see the '$orig_proj_dir' and '$origin_dir' variable setting below at the start of the script)
25
#
26
#    <none>
27
#
28
# 3. The following remote source files that were added to the original project:-
29
#
30
#    "C:/qaz/projects/qaz_libs/zed_board/src/reg_file_v1_0_S00_AXI.v"
31
#    "C:/qaz/projects/qaz_libs/zed_board/syn/axi_lite_to_wb/axi_lite_to_wb.srcs/sources_1/bd/zync/zync.bd"
32
#    "C:/qaz/projects/qaz_libs/zed_board/src/top.v"
33
#    "C:/qaz/projects/qaz_libs/zed_board/src/zedboard_master_XDC_RevC_D_v2.xdc"
34
#
35
#*****************************************************************************************
36
 
37
# Set the reference directory for source file relative paths (by default the value is script directory path)
38
set origin_dir "."
39
 
40
# Use origin directory path location variable, if specified in the tcl shell
41
if { [info exists ::origin_dir_loc] } {
42
  set origin_dir $::origin_dir_loc
43
}
44
 
45
variable script_file
46
set script_file "axi_lite_to_wb.tcl"
47
 
48
# Help information for this script
49
proc help {} {
50
  variable script_file
51
  puts "\nDescription:"
52
  puts "Recreate a Vivado project from this script. The created project will be"
53
  puts "functionally equivalent to the original project for which this script was"
54
  puts "generated. The script contains commands for creating a project, filesets,"
55
  puts "runs, adding/importing sources and setting properties on various objects.\n"
56
  puts "Syntax:"
57
  puts "$script_file"
58
  puts "$script_file -tclargs \[--origin_dir <path>\]"
59
  puts "$script_file -tclargs \[--help\]\n"
60
  puts "Usage:"
61
  puts "Name                   Description"
62
  puts "-------------------------------------------------------------------------"
63
  puts "\[--origin_dir <path>\]  Determine source file paths wrt this path. Default"
64
  puts "                       origin_dir path value is \".\", otherwise, the value"
65
  puts "                       that was set with the \"-paths_relative_to\" switch"
66
  puts "                       when this script was generated.\n"
67
  puts "\[--help\]               Print help information for this script"
68
  puts "-------------------------------------------------------------------------\n"
69
  exit 0
70
}
71
 
72
if { $::argc > 0 } {
73
  for {set i 0} {$i < [llength $::argc]} {incr i} {
74
    set option [string trim [lindex $::argv $i]]
75
    switch -regexp -- $option {
76
      "--origin_dir" { incr i; set origin_dir [lindex $::argv $i] }
77
      "--help"       { help }
78
      default {
79
        if { [regexp {^-} $option] } {
80
          puts "ERROR: Unknown option '$option' specified, please type '$script_file -tclargs --help' for usage info.\n"
81
          return 1
82
        }
83
      }
84
    }
85
  }
86
}
87
 
88
# Set the directory path for the original project from where this script was exported
89
set orig_proj_dir "[file normalize "$origin_dir/../../../qaz/projects/qaz_libs/zed_board/syn/axi_lite_to_wb"]"
90
 
91
# Create project
92
create_project axi_lite_to_wb ./axi_lite_to_wb -part xc7z020clg484-1
93
 
94
# Set the directory path for the new project
95
set proj_dir [get_property directory [current_project]]
96
 
97
# Reconstruct message rules
98
# None
99
 
100
# Set project properties
101
set obj [get_projects axi_lite_to_wb]
102
set_property "board_part" "em.avnet.com:zed:part0:1.2" $obj
103
set_property "default_lib" "xil_defaultlib" $obj
104
set_property "generate_ip_upgrade_log" "0" $obj
105
set_property "sim.ip.auto_export_scripts" "1" $obj
106
set_property "simulator_language" "Mixed" $obj
107
 
108
# Create 'sources_1' fileset (if not found)
109
if {[string equal [get_filesets -quiet sources_1] ""]} {
110
  create_fileset -srcset sources_1
111
}
112
 
113
# Set 'sources_1' fileset object
114
set obj [get_filesets sources_1]
115
set files [list \
116
 "[file normalize "$origin_dir/../../../qaz/projects/qaz_libs/zed_board/src/reg_file_v1_0_S00_AXI.v"]"\
117
 "[file normalize "$origin_dir/../../../qaz/projects/qaz_libs/zed_board/syn/axi_lite_to_wb/axi_lite_to_wb.srcs/sources_1/bd/zync/zync.bd"]"\
118
 "[file normalize "$origin_dir/../../../qaz/projects/qaz_libs/zed_board/src/top.v"]"\
119
]
120
add_files -norecurse -fileset $obj $files
121
 
122
# Set 'sources_1' fileset file properties for remote files
123
set file "$origin_dir/../../../qaz/projects/qaz_libs/zed_board/syn/axi_lite_to_wb/axi_lite_to_wb.srcs/sources_1/bd/zync/zync.bd"
124
set file [file normalize $file]
125
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
126
if { ![get_property "is_locked" $file_obj] } {
127
  set_property "generate_synth_checkpoint" "0" $file_obj
128
}
129
 
130
 
131
# Set 'sources_1' fileset file properties for local files
132
# None
133
 
134
# Set 'sources_1' fileset properties
135
set obj [get_filesets sources_1]
136
set_property "top" "top" $obj
137
 
138
# Create 'constrs_1' fileset (if not found)
139
if {[string equal [get_filesets -quiet constrs_1] ""]} {
140
  create_fileset -constrset constrs_1
141
}
142
 
143
# Set 'constrs_1' fileset object
144
set obj [get_filesets constrs_1]
145
 
146
# Add/Import constrs file and set constrs file properties
147
set file "[file normalize "$origin_dir/../../../qaz/projects/qaz_libs/zed_board/src/zedboard_master_XDC_RevC_D_v2.xdc"]"
148
set file_added [add_files -norecurse -fileset $obj $file]
149
set file "$origin_dir/../../../qaz/projects/qaz_libs/zed_board/src/zedboard_master_XDC_RevC_D_v2.xdc"
150
set file [file normalize $file]
151
set file_obj [get_files -of_objects [get_filesets constrs_1] [list "*$file"]]
152
set_property "file_type" "XDC" $file_obj
153
 
154
# Set 'constrs_1' fileset properties
155
set obj [get_filesets constrs_1]
156
set_property "target_constrs_file" "[file normalize "$origin_dir/../../../qaz/projects/qaz_libs/zed_board/src/zedboard_master_XDC_RevC_D_v2.xdc"]" $obj
157
 
158
# Create 'sim_1' fileset (if not found)
159
if {[string equal [get_filesets -quiet sim_1] ""]} {
160
  create_fileset -simset sim_1
161
}
162
 
163
# Set 'sim_1' fileset object
164
set obj [get_filesets sim_1]
165
# Empty (no sources present)
166
 
167
# Set 'sim_1' fileset properties
168
set obj [get_filesets sim_1]
169
set_property "top" "top" $obj
170
set_property "transport_int_delay" "0" $obj
171
set_property "transport_path_delay" "0" $obj
172
set_property "xelab.nosort" "1" $obj
173
set_property "xelab.unifast" "" $obj
174
 
175
# Create 'synth_1' run (if not found)
176
if {[string equal [get_runs -quiet synth_1] ""]} {
177
  create_run -name synth_1 -part xc7z020clg484-1 -flow {Vivado Synthesis 2016} -strategy "Vivado Synthesis Defaults" -constrset constrs_1
178
} else {
179
  set_property strategy "Vivado Synthesis Defaults" [get_runs synth_1]
180
  set_property flow "Vivado Synthesis 2016" [get_runs synth_1]
181
}
182
set obj [get_runs synth_1]
183
 
184
# set the current synth run
185
current_run -synthesis [get_runs synth_1]
186
 
187
# Create 'impl_1' run (if not found)
188
if {[string equal [get_runs -quiet impl_1] ""]} {
189
  create_run -name impl_1 -part xc7z020clg484-1 -flow {Vivado Implementation 2016} -strategy "Vivado Implementation Defaults" -constrset constrs_1 -parent_run synth_1
190
} else {
191
  set_property strategy "Vivado Implementation Defaults" [get_runs impl_1]
192
  set_property flow "Vivado Implementation 2016" [get_runs impl_1]
193
}
194
set obj [get_runs impl_1]
195
set_property "steps.write_bitstream.args.readback_file" "0" $obj
196
set_property "steps.write_bitstream.args.verbose" "0" $obj
197
 
198
# set the current impl run
199
current_run -implementation [get_runs impl_1]
200
 
201
puts "INFO: Project created:axi_lite_to_wb"

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.