OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [zed_board/] [syn/] [vivado_14_4/] [vivado_14_4.srcs/] [sources_1/] [bd/] [zync/] [zync.bd] - Blame information for rev 27

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 27 qaztronic

2
3
 
4
  
5
    xilinx.com
6
    BlockDiagram
7
    zync
8
    1.00.a
9
    
10
      
11
        isTop
12
        true
13
      
14
    
15
    
16
      
17
        DDR
18
        
19
        
20
        
21
      
22
      
23
        FIXED_IO
24
        
25
        
26
        
27
      
28
      
29
        M00_AXI
30
        
31
        
32
        
33
        
34
          
35
            DATA_WIDTH
36
            32
37
          
38
          
39
            PROTOCOL
40
            AXI4LITE
41
          
42
          
43
            ADDR_WIDTH
44
            32
45
          
46
          
47
            NUM_READ_OUTSTANDING
48
            8
49
          
50
          
51
            NUM_WRITE_OUTSTANDING
52
            8
53
          
54
        
55
      
56
      
57
        CLK.FCLK_CLK0
58
        Clk
59
        Clock
60
        
61
        
62
        
63
        
64
          
65
            
66
              CLK
67
            
68
            
69
              FCLK_CLK0
70
            
71
          
72
        
73
        
74
          
75
            ASSOCIATED_BUSIF
76
            M00_AXI
77
          
78
        
79
      
80
      
81
        RST.PERIPHERAL_ARESETN
82
        Reset
83
        Reset
84
        
85
        
86
        
87
        
88
          
89
            
90
              RST
91
            
92
            
93
              peripheral_aresetn
94
            
95
          
96
        
97
        
98
      
99
    
100
    
101
      
102
        
103
          BlockDiagram
104
          :vivado.xilinx.com:
105
          
106
        
107
      
108
      
109
        
110
          FCLK_CLK0
111
          
112
            out
113
          
114
        
115
        
116
          peripheral_aresetn
117
          
118
            out
119
            
120
              0
121
              0
122
            
123
          
124
        
125
      
126
    
127
    
128
      
129
        M00_AXI
130
        
131
          Reg
132
          0
133
          64K
134
          32
135
        
136
      
137
    
138
  
139
 
140
  
141
    xilinx.com
142
    BlockDiagram
143
    zync_imp
144
    1.00.a
145
    
146
      
147
        axi_interconnect_0
148
        
149
        
150
          zync_axi_interconnect_0_0
151
          1
152
          xilinx.com:ip:axi_interconnect:2.1
153
        
154
      
155
      
156
        proc_sys_reset_0
157
        
158
        
159
          zync_proc_sys_reset_0_0
160
        
161
      
162
      
163
        processing_system7_0
164
        
165
        
166
          zync_processing_system7_0_0
167
          0
168
          0
169
          ZedBoard*
170
        
171
      
172
    
173
    
174
      
175
        processing_system7_0_M_AXI_GP0
176
        
177
        
178
      
179
    
180
    
181
      
182
        ARESETN_1
183
        
184
        
185
      
186
      
187
        proc_sys_reset_0_peripheral_aresetn
188
        
189
        
190
        
191
        
192
      
193
      
194
        processing_system7_0_FCLK_CLK0
195
        
196
        
197
        
198
        
199
        
200
        
201
        
202
      
203
      
204
        processing_system7_0_FCLK_RESET0_N
205
        
206
        
207
      
208
    
209
    
210
      
211
        
212
      
213
      
214
        
215
      
216
      
217
        
218
      
219
    
220
  
221
 
222
  
223
    xilinx.com
224
    BlockDiagram/zync_imp
225
    axi_interconnect_0
226
    1.00.a
227
    
228
      
229
        S00_AXI
230
        
231
        
232
        
233
      
234
      
235
        M00_AXI
236
        
237
        
238
        
239
      
240
      
241
        CLK.ACLK
242
        Clk
243
        Clock
244
        
245
        
246
        
247
        
248
          
249
            
250
              CLK
251
            
252
            
253
              ACLK
254
            
255
          
256
        
257
      
258
      
259
        RST.ARESETN
260
        Reset
261
        Reset
262
        
263
        
264
        
265
        
266
          
267
            
268
              RST
269
            
270
            
271
              ARESETN
272
            
273
          
274
        
275
      
276
      
277
        CLK.S00_ACLK
278
        Clk
279
        Clock
280
        
281
        
282
        
283
        
284
          
285
            
286
              CLK
287
            
288
            
289
              S00_ACLK
290
            
291
          
292
        
293
        
294
          
295
            ASSOCIATED_BUSIF
296
            S00_AXI
297
          
298
          
299
            ASSOCIATED_RESET
300
            S00_ARESETN
301
          
302
        
303
      
304
      
305
        RST.S00_ARESETN
306
        Reset
307
        Reset
308
        
309
        
310
        
311
        
312
          
313
            
314
              RST
315
            
316
            
317
              S00_ARESETN
318
            
319
          
320
        
321
      
322
      
323
        CLK.M00_ACLK
324
        Clk
325
        Clock
326
        
327
        
328
        
329
        
330
          
331
            
332
              CLK
333
            
334
            
335
              M00_ACLK
336
            
337
          
338
        
339
        
340
          
341
            ASSOCIATED_BUSIF
342
            M00_AXI
343
          
344
          
345
            ASSOCIATED_RESET
346
            M00_ARESETN
347
          
348
        
349
      
350
      
351
        RST.M00_ARESETN
352
        Reset
353
        Reset
354
        
355
        
356
        
357
        
358
          
359
            
360
              RST
361
            
362
            
363
              M00_ARESETN
364
            
365
          
366
        
367
      
368
    
369
    
370
      
371
        
372
          BlockDiagram
373
          :vivado.xilinx.com:
374
          
375
        
376
      
377
      
378
        
379
          ACLK
380
          
381
            in
382
          
383
        
384
        
385
          ARESETN
386
          
387
            in
388
            
389
              0
390
              0
391
            
392
          
393
        
394
        
395
          S00_ACLK
396
          
397
            in
398
          
399
        
400
        
401
          S00_ARESETN
402
          
403
            in
404
            
405
              0
406
              0
407
            
408
          
409
        
410
        
411
          M00_ACLK
412
          
413
            in
414
          
415
        
416
        
417
          M00_ARESETN
418
          
419
            in
420
            
421
              0
422
              0
423
            
424
          
425
        
426
      
427
    
428
  
429
 
430
  
431
    xilinx.com
432
    BlockDiagram/zync_imp
433
    axi_interconnect_0_imp
434
    1.00.a
435
    
436
      
437
        s00_couplers
438
        
439
      
440
    
441
    
442
    
443
      
444
        axi_interconnect_0_ACLK_net
445
        
446
        
447
      
448
      
449
        axi_interconnect_0_ARESETN_net
450
        
451
        
452
      
453
      
454
        S00_ACLK_1
455
        
456
        
457
      
458
      
459
        S00_ARESETN_1
460
        
461
        
462
      
463
    
464
    
465
      
466
        
467
      
468
      
469
        
470
      
471
    
472
  
473
 
474
  
475
    xilinx.com
476
    BlockDiagram/zync_imp/axi_interconnect_0_imp
477
    s00_couplers
478
    1.00.a
479
    
480
      
481
        M_AXI
482
        
483
        
484
        
485
      
486
      
487
        S_AXI
488
        
489
        
490
        
491
      
492
      
493
        CLK.M_ACLK
494
        Clk
495
        Clock
496
        
497
        
498
        
499
        
500
          
501
            
502
              CLK
503
            
504
            
505
              M_ACLK
506
            
507
          
508
        
509
        
510
          
511
            ASSOCIATED_BUSIF
512
            M_AXI
513
          
514
          
515
            ASSOCIATED_RESET
516
            M_ARESETN
517
          
518
        
519
      
520
      
521
        RST.M_ARESETN
522
        Reset
523
        Reset
524
        
525
        
526
        
527
        
528
          
529
            
530
              RST
531
            
532
            
533
              M_ARESETN
534
            
535
          
536
        
537
      
538
      
539
        CLK.S_ACLK
540
        Clk
541
        Clock
542
        
543
        
544
        
545
        
546
          
547
            
548
              CLK
549
            
550
            
551
              S_ACLK
552
            
553
          
554
        
555
        
556
          
557
            ASSOCIATED_BUSIF
558
            S_AXI
559
          
560
          
561
            ASSOCIATED_RESET
562
            S_ARESETN
563
          
564
        
565
      
566
      
567
        RST.S_ARESETN
568
        Reset
569
        Reset
570
        
571
        
572
        
573
        
574
          
575
            
576
              RST
577
            
578
            
579
              S_ARESETN
580
            
581
          
582
        
583
      
584
    
585
    
586
      
587
        
588
          BlockDiagram
589
          :vivado.xilinx.com:
590
          
591
        
592
      
593
      
594
        
595
          M_ACLK
596
          
597
            in
598
          
599
        
600
        
601
          M_ARESETN
602
          
603
            in
604
            
605
              0
606
              0
607
            
608
          
609
        
610
        
611
          S_ACLK
612
          
613
            in
614
          
615
        
616
        
617
          S_ARESETN
618
          
619
            in
620
            
621
              0
622
              0
623
            
624
          
625
        
626
      
627
    
628
  
629
 
630
  
631
    xilinx.com
632
    BlockDiagram/zync_imp/axi_interconnect_0_imp
633
    s00_couplers_imp
634
    1.00.a
635
    
636
      
637
        auto_pc
638
        
639
        
640
          zync_auto_pc_0
641
          AXI3
642
          AXI4LITE
643
        
644
      
645
    
646
    
647
    
648
      
649
        S_ACLK_1
650
        
651
        
652
      
653
      
654
        S_ARESETN_1
655
        
656
        
657
      
658
    
659
    
660
      
661
        
662
      
663
      
664
        
665
      
666
    
667
  
668
 
669
  
670
    xilinx.com
671
    Addressing/processing_system7_0
672
    processing_system7
673
    5.5
674
    
675
      
676
        Data
677
        4G
678
        32
679
        
680
          
681
            SEG_M00_AXI_Reg
682
            /M00_AXI/Reg
683
            0x43C00000
684
            64K
685
          
686
        
687
      
688
    
689
  
690
 
691

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.