OpenCores
URL https://opencores.org/ocsvn/s6soc/s6soc/trunk

Subversion Repositories s6soc

[/] [s6soc/] [trunk/] [rtl/] [cpu/] [zipcpu.v] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dgisselq
///////////////////////////////////////////////////////////////////////////////
2
//
3
// Filename:    zipcpu.v
4
//
5
// Project:     Zip CPU -- a small, lightweight, RISC CPU soft core
6
//
7
// Purpose:     This is the top level module holding the core of the Zip CPU
8
//              together.  The Zip CPU is designed to be as simple as possible.
9
//      (actual implementation aside ...)  The instruction set is about as
10
//      RISC as you can get, there are only 16 instruction types supported.
11
//      Please see the accompanying spec.pdf file for a description of these
12
//      instructions.
13
//
14
//      All instructions are 32-bits wide.  All bus accesses, both address and
15
//      data, are 32-bits over a wishbone bus.
16
//
17
//      The Zip CPU is fully pipelined with the following pipeline stages:
18
//
19
//              1. Prefetch, returns the instruction from memory. 
20
//
21
//              2. Instruction Decode
22
//
23
//              3. Read Operands
24
//
25
//              4. Apply Instruction
26
//
27
//              4. Write-back Results
28
//
29
//      Further information about the inner workings of this CPU may be
30
//      found in the spec.pdf file.  (The documentation within this file
31
//      had become out of date and out of sync with the spec.pdf, so look
32
//      to the spec.pdf for accurate and up to date information.)
33
//
34
//
35
//      In general, the pipelining is controlled by three pieces of logic
36
//      per stage: _ce, _stall, and _valid.  _valid means that the stage
37
//      holds a valid instruction.  _ce means that the instruction from the
38
//      previous stage is to move into this one, and _stall means that the
39
//      instruction from the previous stage may not move into this one.
40
//      The difference between these control signals allows individual stages
41
//      to propagate instructions independently.  In general, the logic works
42
//      as:
43
//
44
//
45
//      assign  (n)_ce = (n-1)_valid && (~(n)_stall)
46
//
47
//
48
//      always @(posedge i_clk)
49
//              if ((i_rst)||(clear_pipeline))
50
//                      (n)_valid = 0
51
//              else if (n)_ce
52
//                      (n)_valid = 1
53
//              else if (n+1)_ce
54
//                      (n)_valid = 0
55
//
56
//      assign (n)_stall = (  (n-1)_valid && ( pipeline hazard detection )  )
57
//                      || (  (n)_valid && (n+1)_stall );
58
//
59
//      and ...
60
//
61
//      always @(posedge i_clk)
62
//              if (n)_ce
63
//                      (n)_variable = ... whatever logic for this stage
64
//
65
//      Note that a stage can stall even if no instruction is loaded into
66
//      it.
67
//
68
//
69
// Creator:     Dan Gisselquist, Ph.D.
70
//              Gisselquist Technology, LLC
71
//
72
///////////////////////////////////////////////////////////////////////////////
73
//
74
// Copyright (C) 2015, Gisselquist Technology, LLC
75
//
76
// This program is free software (firmware): you can redistribute it and/or
77
// modify it under the terms of  the GNU General Public License as published
78
// by the Free Software Foundation, either version 3 of the License, or (at
79
// your option) any later version.
80
//
81
// This program is distributed in the hope that it will be useful, but WITHOUT
82
// ANY WARRANTY; without even the implied warranty of MERCHANTIBILITY or
83
// FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
84
// for more details.
85
//
86
// License:     GPL, v3, as defined and found on www.gnu.org,
87
//              http://www.gnu.org/licenses/gpl.html
88
//
89
//
90
///////////////////////////////////////////////////////////////////////////////
91
//
92
// We can either pipeline our fetches, or issue one fetch at a time.  Pipelined
93
// fetches are more complicated and therefore use more FPGA resources, while
94
// single fetches will cause the CPU to stall for about 5 stalls each 
95
// instruction cycle, effectively reducing the instruction count per clock to
96
// about 0.2.  However, the area cost may be worth it.  Consider:
97
//
98
//      Slice LUTs              ZipSystem       ZipCPU
99
//      Single Fetching         2521            1734
100
//      Pipelined fetching      2796            2046
101
//
102
//
103
//
104
`define CPU_CC_REG      4'he
105
`define CPU_PC_REG      4'hf
106
`define CPU_FPUERR_BIT  12      // Floating point error flag, set on error
107
`define CPU_DIVERR_BIT  11      // Divide error flag, set on divide by zero
108
`define CPU_BUSERR_BIT  10      // Bus error flag, set on error
109
`define CPU_TRAP_BIT    9       // User TRAP has taken place
110
`define CPU_ILL_BIT     8       // Illegal instruction
111
`define CPU_BREAK_BIT   7
112
`define CPU_STEP_BIT    6       // Will step one or two (VLIW) instructions
113
`define CPU_GIE_BIT     5
114
`define CPU_SLEEP_BIT   4
115
// Compile time defines
116
//
117
`include "cpudefs.v"
118
//
119
//
120
module  zipcpu(i_clk, i_rst, i_interrupt,
121
                // Debug interface
122
                i_halt, i_clear_pf_cache, i_dbg_reg, i_dbg_we, i_dbg_data,
123
                        o_dbg_stall, o_dbg_reg, o_dbg_cc,
124
                        o_break,
125
                // CPU interface to the wishbone bus
126
                o_wb_gbl_cyc, o_wb_gbl_stb,
127
                        o_wb_lcl_cyc, o_wb_lcl_stb,
128
                        o_wb_we, o_wb_addr, o_wb_data,
129
                        i_wb_ack, i_wb_stall, i_wb_data,
130
                        i_wb_err,
131
                // Accounting/CPU usage interface
132
                o_op_stall, o_pf_stall, o_i_count
133
`ifdef  DEBUG_SCOPE
134
                , o_debug
135
`endif
136
                );
137
        parameter       RESET_ADDRESS=32'h0100000, ADDRESS_WIDTH=24,
138
                        LGICACHE=6;
139
`ifdef  OPT_MULTIPLY
140
        parameter       IMPLEMENT_MPY = 1;
141
`else
142
        parameter       IMPLEMENT_MPY = 0;
143
`endif
144
`ifdef  OPT_DIVIDE
145
        parameter       IMPLEMENT_DIVIDE = 1;
146
`else
147
        parameter       IMPLEMENT_DIVIDE = 0;
148
`endif
149
`ifdef  OPT_IMPLEMENT_FPU
150
        parameter       IMPLEMENT_FPU = 1,
151
`else
152
        parameter       IMPLEMENT_FPU = 0,
153
`endif
154
                        IMPLEMENT_LOCK=1;
155
`ifdef  OPT_EARLY_BRANCHING
156
        parameter       EARLY_BRANCHING = 1;
157
`else
158
        parameter       EARLY_BRANCHING = 0;
159
`endif
160
        parameter       AW=ADDRESS_WIDTH;
161
        input                   i_clk, i_rst, i_interrupt;
162
        // Debug interface -- inputs
163
        input                   i_halt, i_clear_pf_cache;
164
        input           [4:0]    i_dbg_reg;
165
        input                   i_dbg_we;
166
        input           [31:0]   i_dbg_data;
167
        // Debug interface -- outputs
168
        output  reg             o_dbg_stall;
169
        output  reg     [31:0]   o_dbg_reg;
170
        output  reg     [3:0]    o_dbg_cc;
171
        output  wire            o_break;
172
        // Wishbone interface -- outputs
173
        output  wire            o_wb_gbl_cyc, o_wb_gbl_stb;
174
        output  wire            o_wb_lcl_cyc, o_wb_lcl_stb, o_wb_we;
175
        output  wire    [(AW-1):0]       o_wb_addr;
176
        output  wire    [31:0]   o_wb_data;
177
        // Wishbone interface -- inputs
178
        input                   i_wb_ack, i_wb_stall;
179
        input           [31:0]   i_wb_data;
180
        input                   i_wb_err;
181
        // Accounting outputs ... to help us count stalls and usage
182
        output  wire            o_op_stall;
183
        output  wire            o_pf_stall;
184
        output  wire            o_i_count;
185
        //
186
`ifdef  DEBUG_SCOPE
187
        output  reg     [31:0]   o_debug;
188
`endif
189
 
190
 
191
        // Registers
192
        //
193
        //      The distributed RAM style comment is necessary on the
194
        // SPARTAN6 with XST to prevent XST from oversimplifying the register
195
        // set and in the process ruining everything else.  It basically
196
        // optimizes logic away, to where it no longer works.  The logic
197
        // as described herein will work, this just makes sure XST implements
198
        // that logic.
199
        //
200
        (* ram_style = "distributed" *)
201
        reg     [31:0]   regset [0:31];
202
 
203
        // Condition codes
204
        // (BUS, TRAP,ILL,BREAKEN,STEP,GIE,SLEEP ), V, N, C, Z
205
        reg     [3:0]    flags, iflags;
206
        wire    [13:0]   w_uflags, w_iflags;
207
        reg             trap, break_en, step, gie, sleep;
208
`ifdef  OPT_ILLEGAL_INSTRUCTION
209
        reg             ill_err_u, ill_err_i;
210
`else
211
        wire            ill_err_u, ill_err_i;
212
`endif
213
        reg             ibus_err_flag, ubus_err_flag;
214
        wire            idiv_err_flag, udiv_err_flag;
215
        wire            ifpu_err_flag, ufpu_err_flag;
216
        wire            ihalt_phase, uhalt_phase;
217
 
218
        // The master chip enable
219
        wire            master_ce;
220
 
221
        //
222
        //
223
        //      PIPELINE STAGE #1 :: Prefetch
224
        //              Variable declarations
225
        //
226
        reg     [(AW-1):0]       pf_pc;
227
        reg     new_pc;
228
        wire    clear_pipeline;
229
        assign  clear_pipeline = new_pc || i_clear_pf_cache;
230
 
231
        wire            dcd_stalled;
232
        wire            pf_cyc, pf_stb, pf_we, pf_busy, pf_ack, pf_stall, pf_err;
233
        wire    [(AW-1):0]       pf_addr;
234
        wire    [31:0]           pf_data;
235
        wire    [31:0]           instruction;
236
        wire    [(AW-1):0]       instruction_pc;
237
        wire    pf_valid, instruction_gie, pf_illegal;
238
 
239
        //
240
        //
241
        //      PIPELINE STAGE #2 :: Instruction Decode
242
        //              Variable declarations
243
        //
244
        //
245
        reg             opvalid, opvalid_mem, opvalid_alu;
246
        reg             opvalid_div, opvalid_fpu;
247
        wire            op_stall, dcd_ce, dcd_phase;
248
        wire    [3:0]    dcdOp;
249
        wire    [4:0]    dcdA, dcdB, dcdR;
250
        wire            dcdA_cc, dcdB_cc, dcdA_pc, dcdB_pc, dcdR_cc, dcdR_pc;
251
        wire    [3:0]    dcdF;
252
        wire            dcdR_wr, dcdA_rd, dcdB_rd,
253
                                dcdALU, dcdM, dcdDV, dcdFP,
254
                                dcdF_wr, dcd_gie, dcd_break, dcd_lock,
255
                                dcd_pipe, dcd_ljmp;
256
        reg             r_dcdvalid;
257
        wire            dcdvalid;
258
        wire    [(AW-1):0]       dcd_pc;
259
        wire    [31:0]   dcdI;
260
        wire            dcd_zI; // true if dcdI == 0
261
        wire    dcdA_stall, dcdB_stall, dcdF_stall;
262
 
263
        wire    dcd_illegal;
264
        wire                    dcd_early_branch;
265
        wire    [(AW-1):0]       dcd_branch_pc;
266
 
267
 
268
        //
269
        //
270
        //      PIPELINE STAGE #3 :: Read Operands
271
        //              Variable declarations
272
        //
273
        //
274
        //
275
        // Now, let's read our operands
276
        reg     [4:0]    alu_reg;
277
        reg     [3:0]    opn;
278
        reg     [4:0]    opR;
279
        reg     [31:0]   r_opA, r_opB;
280
        reg     [(AW-1):0]       op_pc;
281
        wire    [31:0]   w_opA, w_opB;
282
        wire    [31:0]   opA_nowait, opB_nowait, opA, opB;
283
        reg             opR_wr, opR_cc, opF_wr, op_gie;
284
        wire    [13:0]   opFl;
285
        reg     [5:0]    r_opF;
286
        wire    [7:0]    opF;
287
        wire            op_ce, op_phase;
288
        // Some pipeline control wires
289
`ifdef  OPT_PIPELINED
290
        reg     opA_alu, opA_mem;
291
        reg     opB_alu, opB_mem;
292
`endif
293
`ifdef  OPT_ILLEGAL_INSTRUCTION
294
        reg     op_illegal;
295
`endif
296
        reg     op_break;
297
        wire    op_lock;
298
 
299
 
300
        //
301
        //
302
        //      PIPELINE STAGE #4 :: ALU / Memory
303
        //              Variable declarations
304
        //
305
        //
306
        reg     [(AW-1):0]       alu_pc;
307
        reg             alu_pc_valid;
308
        wire            alu_phase;
309
        wire            alu_ce, alu_stall;
310
        wire    [31:0]   alu_result;
311
        wire    [3:0]    alu_flags;
312
        wire            alu_valid, alu_busy;
313
        wire            set_cond;
314
        reg             alu_wr, alF_wr, alu_gie;
315
        wire            alu_illegal_op;
316
        wire            alu_illegal;
317
 
318
 
319
 
320
        wire    mem_ce, mem_stalled;
321
`ifdef  OPT_PIPELINED_BUS_ACCESS
322
        wire    mem_pipe_stalled;
323
`endif
324
        wire    mem_valid, mem_ack, mem_stall, mem_err, bus_err,
325
                mem_cyc_gbl, mem_cyc_lcl, mem_stb_gbl, mem_stb_lcl, mem_we;
326
        wire    [4:0]            mem_wreg;
327
 
328
        wire                    mem_busy, mem_rdbusy;
329
        wire    [(AW-1):0]       mem_addr;
330
        wire    [31:0]           mem_data, mem_result;
331
 
332
        wire    div_ce, div_error, div_busy, div_valid;
333
        wire    [31:0]   div_result;
334
        wire    [3:0]    div_flags;
335
 
336
        assign  div_ce = (master_ce)&&(~clear_pipeline)&&(opvalid_div)
337
                                &&(~mem_rdbusy)&&(~div_busy)&&(~fpu_busy)
338
                                &&(set_cond);
339
 
340
        wire    fpu_ce, fpu_error, fpu_busy, fpu_valid;
341
        wire    [31:0]   fpu_result;
342
        wire    [3:0]    fpu_flags;
343
 
344
        assign  fpu_ce = (master_ce)&&(~clear_pipeline)&&(opvalid_fpu)
345
                                &&(~mem_rdbusy)&&(~div_busy)&&(~fpu_busy)
346
                                &&(set_cond);
347
 
348
 
349
        //
350
        //
351
        //      PIPELINE STAGE #5 :: Write-back
352
        //              Variable declarations
353
        //
354
        wire            wr_reg_ce, wr_flags_ce, wr_write_pc, wr_write_cc;
355
        wire    [4:0]    wr_reg_id;
356
        wire    [31:0]   wr_reg_vl;
357
        wire    w_switch_to_interrupt, w_release_from_interrupt;
358
        reg     [(AW-1):0]       upc, ipc;
359
 
360
 
361
 
362
        //
363
        //      MASTER: clock enable.
364
        //
365
        assign  master_ce = (~i_halt)&&(~o_break)&&(~sleep);
366
 
367
 
368
        //
369
        //      PIPELINE STAGE #1 :: Prefetch
370
        //              Calculate stall conditions
371
        //
372
        //      These are calculated externally, within the prefetch module.
373
        //
374
 
375
        //
376
        //      PIPELINE STAGE #2 :: Instruction Decode
377
        //              Calculate stall conditions
378
`ifdef  OPT_PIPELINED
379
        assign          dcd_ce = ((~dcdvalid)||(~dcd_stalled))&&(~clear_pipeline);
380
`else
381
        assign          dcd_ce = 1'b1;
382
`endif
383
`ifdef  OPT_PIPELINED
384
        assign          dcd_stalled = (dcdvalid)&&(op_stall);
385
`else
386
        // If not pipelined, there will be no opvalid_ anything, and the
387
        // op_stall will be false, dcdX_stall will be false, thus we can simply
388
        // do a ...
389
        assign          dcd_stalled = 1'b0;
390
`endif
391
        //
392
        //      PIPELINE STAGE #3 :: Read Operands
393
        //              Calculate stall conditions
394
        wire    op_lock_stall;
395
`ifdef  OPT_PIPELINED
396
        assign  op_stall = (opvalid)&&( // Only stall if we're loaded w/validins
397
                        // Stall if we're stopped, and not allowed to execute
398
                        // an instruction
399
                        // (~master_ce)         // Already captured in alu_stall
400
                        //
401
                        // Stall if going into the ALU and the ALU is stalled
402
                        //      i.e. if the memory is busy, or we are single
403
                        //      stepping.  This also includes our stalls for
404
                        //      op_break and op_lock, so we don't need to
405
                        //      include those as well here.
406
                        // This also includes whether or not the divide or
407
                        // floating point units are busy.
408
                        (alu_stall)
409
                        //
410
                        // Stall if we are going into memory with an operation
411
                        //      that cannot be pipelined, and the memory is
412
                        //      already busy
413
                        ||(mem_stalled) // &&(opvalid_mem) part of mem_stalled
414
                        )
415
                        ||(dcdvalid)&&(
416
                                // Stall if we need to wait for an operand A
417
                                // to be ready to read
418
                                (dcdA_stall)
419
                                // Likewise for B, also includes logic
420
                                // regarding immediate offset (register must
421
                                // be in register file if we need to add to
422
                                // an immediate)
423
                                ||(dcdB_stall)
424
                                // Or if we need to wait on flags to work on the
425
                                // CC register
426
                                ||(dcdF_stall)
427
                        );
428
        assign  op_ce = ((dcdvalid)||(dcd_illegal))&&(~op_stall)&&(~clear_pipeline);
429
`else
430
        assign  op_stall = (opvalid)&&(~master_ce);
431
        assign  op_ce = ((dcdvalid)||(dcd_illegal));
432
`endif
433
 
434
        //
435
        //      PIPELINE STAGE #4 :: ALU / Memory
436
        //              Calculate stall conditions
437
        //
438
        // 1. Basic stall is if the previous stage is valid and the next is
439
        //      busy.  
440
        // 2. Also stall if the prior stage is valid and the master clock enable
441
        //      is de-selected
442
        // 3. Stall if someone on the other end is writing the CC register,
443
        //      since we don't know if it'll put us to sleep or not.
444
        // 4. Last case: Stall if we would otherwise move a break instruction
445
        //      through the ALU.  Break instructions are not allowed through
446
        //      the ALU.
447
`ifdef  OPT_PIPELINED
448
        assign  alu_stall = (((~master_ce)||(mem_rdbusy)||(alu_busy))&&(opvalid_alu)) //Case 1&2
449
                        // Old case #3--this isn't an ALU stall though ...
450
                        ||((opvalid_alu)&&(wr_reg_ce)&&(wr_reg_id[4] == op_gie)
451
                                &&(wr_write_cc)) // Case 3
452
                        ||((opvalid)&&(op_lock)&&(op_lock_stall))
453
                        ||((opvalid)&&(op_break))
454
                        ||(div_busy)||(fpu_busy);
455
        assign  alu_ce = (master_ce)&&((opvalid_alu)||(op_illegal))
456
                                &&(~alu_stall)
457
                                &&(~clear_pipeline);
458
`else
459
        assign  alu_stall = ((~master_ce)&&(opvalid_alu))
460
                                ||((opvalid_alu)&&(op_break));
461
        assign  alu_ce = (master_ce)&&((opvalid_alu)||(op_illegal))&&(~alu_stall);
462
`endif
463
        //
464
 
465
        //
466
        // Note: if you change the conditions for mem_ce, you must also change
467
        // alu_pc_valid.
468
        //
469
`ifdef  OPT_PIPELINED
470
        assign  mem_ce = (master_ce)&&(opvalid_mem)&&(~mem_stalled)
471
                        &&(~clear_pipeline);
472
`else
473
        // If we aren't pipelined, then no one will be changing what's in the
474
        // pipeline (i.e. clear_pipeline), while our only instruction goes
475
        // through the ... pipeline.
476
        assign  mem_ce = (master_ce)&&(opvalid_mem)&&(~mem_stalled);
477
`endif
478
`ifdef  OPT_PIPELINED_BUS_ACCESS
479
        assign  mem_stalled = (~master_ce)||(alu_busy)||((opvalid_mem)&&(
480
                                (mem_pipe_stalled)
481
                                ||((~op_pipe)&&(mem_busy))
482
                                ||(div_busy)
483
                                ||(fpu_busy)
484
                                // Stall waiting for flags to be valid
485
                                // Or waiting for a write to the PC register
486
                                // Or CC register, since that can change the
487
                                //  PC as well
488
                                ||((wr_reg_ce)&&(wr_reg_id[4] == op_gie)
489
                                        &&((wr_write_pc)||(wr_write_cc)))));
490
`else
491
`ifdef  OPT_PIPELINED
492
        assign  mem_stalled = (mem_busy)||((opvalid_mem)&&(
493
                                (~master_ce)
494
                                // Stall waiting for flags to be valid
495
                                // Or waiting for a write to the PC register
496
                                // Or CC register, since that can change the
497
                                //  PC as well
498
                                ||((wr_reg_ce)&&(wr_reg_id[4] == op_gie)&&((wr_write_pc)||(wr_write_cc)))));
499
`else
500
        assign  mem_stalled = (opvalid_mem)&&(~master_ce);
501
`endif
502
`endif
503
 
504
 
505
        //
506
        //
507
        //      PIPELINE STAGE #1 :: Prefetch
508
        //
509
        //
510
`ifdef  OPT_SINGLE_FETCH
511
        wire            pf_ce;
512
 
513
        assign          pf_ce = (~pf_valid)&&(~dcdvalid)&&(~opvalid)&&(~alu_valid);
514
        prefetch        #(ADDRESS_WIDTH)
515
                        pf(i_clk, i_rst, (pf_ce), (~dcd_stalled), pf_pc, gie,
516
                                instruction, instruction_pc, instruction_gie,
517
                                        pf_valid, pf_illegal,
518
                                pf_cyc, pf_stb, pf_we, pf_addr, pf_data,
519
                                pf_ack, pf_stall, pf_err, i_wb_data);
520
 
521
        initial r_dcdvalid = 1'b0;
522
        always @(posedge i_clk)
523
                if (i_rst)
524
                        r_dcdvalid <= 1'b0;
525
                else if (dcd_ce)
526
                        r_dcdvalid <= (pf_valid);
527
                else if (op_ce)
528
                        r_dcdvalid <= 1'b0;
529
        assign  dcdvalid = r_dcdvalid;
530
 
531
`else // Pipe fetch
532
 
533
`ifdef  OPT_TRADITIONAL_PFCACHE
534
        pfcache #(LGICACHE, ADDRESS_WIDTH)
535
                pf(i_clk, i_rst, (new_pc)||((dcd_early_branch)&&(~clear_pipeline)),
536
                                        i_clear_pf_cache,
537
                                // dcd_pc,
538
                                ~dcd_stalled,
539
                                ((dcd_early_branch)&&(~clear_pipeline))
540
                                        ? dcd_branch_pc:pf_pc,
541
                                instruction, instruction_pc, pf_valid,
542
                                pf_cyc, pf_stb, pf_we, pf_addr, pf_data,
543
                                        pf_ack, pf_stall, pf_err, i_wb_data,
544
                                pf_illegal);
545
`else
546
        pipefetch       #(RESET_ADDRESS, LGICACHE, ADDRESS_WIDTH)
547
                        pf(i_clk, i_rst, (new_pc)||((dcd_early_branch)&&(~clear_pipeline)),
548
                                        i_clear_pf_cache, ~dcd_stalled,
549
                                        (new_pc)?pf_pc:dcd_branch_pc,
550
                                        instruction, instruction_pc, pf_valid,
551
                                pf_cyc, pf_stb, pf_we, pf_addr, pf_data,
552
                                        pf_ack, pf_stall, pf_err, i_wb_data,
553
//`ifdef        OPT_PRECLEAR_BUS
554
                                //((dcd_clear_bus)&&(dcdvalid))
555
                                //||((op_clear_bus)&&(opvalid))
556
                                //||
557
//`endif
558
                                (mem_cyc_lcl)||(mem_cyc_gbl),
559
                                pf_illegal);
560
`endif
561
        assign  instruction_gie = gie;
562
 
563
        initial r_dcdvalid = 1'b0;
564
        always @(posedge i_clk)
565
                if ((i_rst)||(clear_pipeline))
566
                        r_dcdvalid <= 1'b0;
567
                else if (dcd_ce)
568
                        r_dcdvalid <= (pf_valid)&&(~clear_pipeline)&&(~dcd_ljmp)&&((~r_dcdvalid)||(~dcd_early_branch));
569
                else if (op_ce)
570
                        r_dcdvalid <= 1'b0;
571
        assign  dcdvalid = r_dcdvalid;
572
`endif
573
 
574
`ifdef  OPT_NEW_INSTRUCTION_SET
575
        idecode #(AW, IMPLEMENT_MPY, EARLY_BRANCHING, IMPLEMENT_DIVIDE,
576
                        IMPLEMENT_FPU)
577
                instruction_decoder(i_clk, (i_rst)||(clear_pipeline),
578
                        dcd_ce, dcd_stalled, instruction, instruction_gie,
579
                        instruction_pc, pf_valid, pf_illegal, dcd_phase,
580
                        dcd_illegal, dcd_pc, dcd_gie,
581
                        { dcdR_cc, dcdR_pc, dcdR },
582
                        { dcdA_cc, dcdA_pc, dcdA },
583
                        { dcdB_cc, dcdB_pc, dcdB },
584
                        dcdI, dcd_zI, dcdF, dcdF_wr, dcdOp,
585
                        dcdALU, dcdM, dcdDV, dcdFP, dcd_break, dcd_lock,
586
                        dcdR_wr,dcdA_rd, dcdB_rd,
587
                        dcd_early_branch,
588
                        dcd_branch_pc, dcd_ljmp,
589
                        dcd_pipe);
590
`else
591
        idecode_deprecated
592
                #(AW, IMPLEMENT_MPY, EARLY_BRANCHING, IMPLEMENT_DIVIDE,
593
                        IMPLEMENT_FPU)
594
                instruction_decoder(i_clk, (i_rst)||(clear_pipeline),
595
                        dcd_ce, dcd_stalled, instruction, instruction_gie,
596
                        instruction_pc, pf_valid, pf_illegal, dcd_phase,
597
                        dcd_illegal, dcd_pc, dcd_gie,
598
                        { dcdR_cc, dcdR_pc, dcdR },
599
                        { dcdA_cc, dcdA_pc, dcdA },
600
                        { dcdB_cc, dcdB_pc, dcdB },
601
                        dcdI, dcd_zI, dcdF, dcdF_wr, dcdOp,
602
                        dcdALU, dcdM, dcdDV, dcdFP, dcd_break, dcd_lock,
603
                        dcdR_wr,dcdA_rd, dcdB_rd,
604
                        dcd_early_branch,
605
                        dcd_branch_pc,
606
                        dcd_pipe);
607
        assign  dcd_ljmp = 1'b0;
608
`endif
609
 
610
`ifdef  OPT_PIPELINED_BUS_ACCESS
611
        reg             op_pipe;
612
 
613
        initial op_pipe = 1'b0;
614
        // To be a pipeable operation, there must be 
615
        //      two valid adjacent instructions
616
        //      Both must be memory instructions
617
        //      Both must be writes, or both must be reads
618
        //      Both operations must be to the same identical address,
619
        //              or at least a single (one) increment above that address
620
        //
621
        // However ... we need to know this before this clock, hence this is
622
        // calculated in the instruction decoder.
623
        always @(posedge i_clk)
624
                if (op_ce)
625
                        op_pipe <= dcd_pipe;
626
`endif
627
 
628
        //
629
        //
630
        //      PIPELINE STAGE #3 :: Read Operands (Registers)
631
        //
632
        //
633
        assign  w_opA = regset[dcdA];
634
        assign  w_opB = regset[dcdB];
635
 
636
        wire    [31:0]   w_pcA_v;
637
        generate
638
        if (AW < 32)
639
                assign  w_pcA_v = {{(32-AW){1'b0}}, (dcdA[4] == dcd_gie)?dcd_pc:upc };
640
        else
641
                assign  w_pcA_v = (dcdA[4] == dcd_gie)?dcd_pc:upc;
642
        endgenerate
643
 
644
`ifdef  OPT_PIPELINED
645
        reg     [4:0]    opA_id, opB_id;
646
        reg             opA_rd, opB_rd;
647
        always @(posedge i_clk)
648
                if (op_ce)
649
                begin
650
                        opA_id <= dcdA;
651
                        opB_id <= dcdB;
652
                        opA_rd <= dcdA_rd;
653
                        opB_rd <= dcdB_rd;
654
                end
655
`endif
656
 
657
        always @(posedge i_clk)
658
                if (op_ce) // &&(dcdvalid))
659
                begin
660
                        if ((wr_reg_ce)&&(wr_reg_id == dcdA))
661
                                r_opA <= wr_reg_vl;
662
                        else if (dcdA_pc)
663
                                r_opA <= w_pcA_v;
664
                        else if (dcdA_cc)
665
                                r_opA <= { w_opA[31:14], (dcdA[4])?w_uflags:w_iflags };
666
                        else
667
                                r_opA <= w_opA;
668
`ifdef  OPT_PIPELINED
669
                end else
670
                begin // We were going to pick these up when they became valid,
671
                        // but for some reason we're stuck here as they became
672
                        // valid.  Pick them up now anyway
673
                        // if (((opA_alu)&&(alu_wr))||((opA_mem)&&(mem_valid)))
674
                                // r_opA <= wr_reg_vl;
675
                        if ((wr_reg_ce)&&(wr_reg_id == opA_id)&&(opA_rd))
676
                                r_opA <= wr_reg_vl;
677
`endif
678
                end
679
 
680
        wire    [31:0]   w_opBnI, w_pcB_v;
681
        generate
682
        if (AW < 32)
683
                assign  w_pcB_v = {{(32-AW){1'b0}}, (dcdB[4] == dcd_gie)?dcd_pc:upc };
684
        else
685
                assign  w_pcB_v = (dcdB[4] == dcd_gie)?dcd_pc:upc;
686
        endgenerate
687
 
688
        assign  w_opBnI = (~dcdB_rd) ? 32'h00
689
                : (((wr_reg_ce)&&(wr_reg_id == dcdB)) ? wr_reg_vl
690
                : ((dcdB_pc) ? w_pcB_v
691
                : ((dcdB_cc) ? { w_opB[31:14], (dcdB[4])?w_uflags:w_iflags}
692
                : w_opB)));
693
 
694
        always @(posedge i_clk)
695
                if (op_ce) // &&(dcdvalid))
696
                        r_opB <= w_opBnI + dcdI;
697
`ifdef  OPT_PIPELINED
698
                else if ((wr_reg_ce)&&(opB_id == wr_reg_id)&&(opB_rd))
699
                        r_opB <= wr_reg_vl;
700
`endif
701
 
702
        // The logic here has become more complex than it should be, no thanks
703
        // to Xilinx's Vivado trying to help.  The conditions are supposed to
704
        // be two sets of four bits: the top bits specify what bits matter, the
705
        // bottom specify what those top bits must equal.  However, two of
706
        // conditions check whether bits are on, and those are the only two
707
        // conditions checking those bits.  Therefore, Vivado complains that
708
        // these two bits are redundant.  Hence the convoluted expression
709
        // below, arriving at what we finally want in the (now wire net)
710
        // opF.
711
        always @(posedge i_clk)
712
                if (op_ce)
713
                begin // Set the flag condition codes, bit order is [3:0]=VNCZ
714
                        case(dcdF[2:0])
715
                        3'h0:   r_opF <= 6'h00; // Always
716
`ifdef  OPT_NEW_INSTRUCTION_SET
717
                        // These were remapped as part of the new instruction
718
                        // set in order to make certain that the low order
719
                        // two bits contained the most commonly used 
720
                        // conditions: Always, LT, Z, and NZ.
721
                        3'h1:   r_opF <= 6'h24; // LT
722
                        3'h2:   r_opF <= 6'h11; // Z
723
                        3'h3:   r_opF <= 6'h10; // NE
724
                        3'h4:   r_opF <= 6'h30; // GT (!N&!Z)
725
                        3'h5:   r_opF <= 6'h20; // GE (!N)
726
`else
727
                        3'h1:   r_opF <= 6'h11; // Z
728
                        3'h2:   r_opF <= 6'h10; // NE
729
                        3'h3:   r_opF <= 6'h20; // GE (!N)
730
                        3'h4:   r_opF <= 6'h30; // GT (!N&!Z)
731
                        3'h5:   r_opF <= 6'h24; // LT
732
`endif
733
                        3'h6:   r_opF <= 6'h02; // C
734
                        3'h7:   r_opF <= 6'h08; // V
735
                        endcase
736
                end // Bit order is { (flags_not_used), VNCZ mask, VNCZ value }
737
        assign  opF = { r_opF[3], r_opF[5], r_opF[1], r_opF[4:0] };
738
 
739
        wire    w_opvalid;
740
        assign  w_opvalid = (~clear_pipeline)&&(dcdvalid)&&(~dcd_ljmp);
741
        initial opvalid     = 1'b0;
742
        initial opvalid_alu = 1'b0;
743
        initial opvalid_mem = 1'b0;
744
        initial opvalid_div = 1'b0;
745
        initial opvalid_fpu = 1'b0;
746
        always @(posedge i_clk)
747
                if (i_rst)
748
                begin
749
                        opvalid     <= 1'b0;
750
                        opvalid_alu <= 1'b0;
751
                        opvalid_mem <= 1'b0;
752
                end else if (op_ce)
753
                begin
754
                        // Do we have a valid instruction?
755
                        //   The decoder may vote to stall one of its
756
                        //   instructions based upon something we currently
757
                        //   have in our queue.  This instruction must then
758
                        //   move forward, and get a stall cycle inserted.
759
                        //   Hence, the test on dcd_stalled here.  If we must
760
                        //   wait until our operands are valid, then we aren't
761
                        //   valid yet until then.
762
                        opvalid<= w_opvalid;
763
`ifdef  OPT_ILLEGAL_INSTRUCTION
764
                        opvalid_alu <= ((dcdALU)||(dcd_illegal))&&(w_opvalid);
765
                        opvalid_mem <= (dcdM)&&(~dcd_illegal)&&(w_opvalid);
766
                        opvalid_div <= (dcdDV)&&(~dcd_illegal)&&(w_opvalid);
767
                        opvalid_fpu <= (dcdFP)&&(~dcd_illegal)&&(w_opvalid);
768
`else
769
                        opvalid_alu <= (dcdALU)&&(w_opvalid);
770
                        opvalid_mem <= (dcdM)&&(w_opvalid);
771
                        opvalid_div <= (dcdDV)&&(w_opvalid);
772
                        opvalid_fpu <= (dcdFP)&&(w_opvalid);
773
`endif
774
                end else if ((clear_pipeline)||(alu_ce)||(mem_ce)||(div_ce)||(fpu_ce))
775
                begin
776
                        opvalid     <= 1'b0;
777
                        opvalid_alu <= 1'b0;
778
                        opvalid_mem <= 1'b0;
779
                        opvalid_div <= 1'b0;
780
                        opvalid_fpu <= 1'b0;
781
                end
782
 
783
        // Here's part of our debug interface.  When we recognize a break
784
        // instruction, we set the op_break flag.  That'll prevent this
785
        // instruction from entering the ALU, and cause an interrupt before
786
        // this instruction.  Thus, returning to this code will cause the
787
        // break to repeat and continue upon return.  To get out of this
788
        // condition, replace the break instruction with what it is supposed
789
        // to be, step through it, and then replace it back.  In this fashion,
790
        // a debugger can step through code.
791
        // assign w_op_break = (dcd_break)&&(r_dcdI[15:0] == 16'h0001);
792
        initial op_break = 1'b0;
793
        always @(posedge i_clk)
794
                if (i_rst)      op_break <= 1'b0;
795
                else if (op_ce) op_break <= (dcd_break);
796
                else if ((clear_pipeline)||(~opvalid))
797
                                op_break <= 1'b0;
798
 
799
`ifdef  OPT_PIPELINED
800
        generate
801
        if (IMPLEMENT_LOCK != 0)
802
        begin
803
                reg     r_op_lock, r_op_lock_stall;
804
 
805
                initial r_op_lock_stall = 1'b0;
806
                always @(posedge i_clk)
807
                        if (i_rst)
808
                                r_op_lock_stall <= 1'b0;
809
                        else
810
                                r_op_lock_stall <= (~opvalid)||(~op_lock)
811
                                                ||(~dcdvalid)||(~pf_valid);
812
 
813
                assign  op_lock_stall = r_op_lock_stall;
814
 
815
                initial r_op_lock = 1'b0;
816
                always @(posedge i_clk)
817
                        if (i_rst)
818
                                r_op_lock <= 1'b0;
819
                        else if ((op_ce)&&(dcd_lock))
820
                                r_op_lock <= 1'b1;
821
                        else if ((op_ce)||(clear_pipeline))
822
                                r_op_lock <= 1'b0;
823
                assign  op_lock = r_op_lock;
824
 
825
        end else begin
826
                assign  op_lock_stall = 1'b0;
827
                assign  op_lock = 1'b0;
828
        end endgenerate
829
 
830
`else
831
        assign op_lock_stall = 1'b0;
832
        assign op_lock       = 1'b0;
833
`endif
834
 
835
`ifdef  OPT_ILLEGAL_INSTRUCTION
836
        initial op_illegal = 1'b0;
837
        always @(posedge i_clk)
838
                if ((i_rst)||(clear_pipeline))
839
                        op_illegal <= 1'b0;
840
                else if(op_ce)
841
`ifdef  OPT_PIPELINED
842
                        op_illegal <=(dcd_illegal)||((dcd_lock)&&(IMPLEMENT_LOCK == 0));
843
`else
844
                        op_illegal <= (dcd_illegal)||(dcd_lock);
845
`endif
846
`endif
847
 
848
        // No generate on EARLY_BRANCHING here, since if EARLY_BRANCHING is not
849
        // set, dcd_early_branch will simply be a wire connected to zero and
850
        // this logic should just optimize.
851
        always @(posedge i_clk)
852
                if (op_ce)
853
                begin
854
                        opF_wr <= (dcdF_wr)&&((~dcdR_cc)||(~dcdR_wr))
855
                                &&(~dcd_early_branch)&&(~dcd_illegal);
856
                        opR_wr <= (dcdR_wr)&&(~dcd_early_branch)&&(~dcd_illegal);
857
                end
858
 
859
        always @(posedge i_clk)
860
                if (op_ce)
861
                begin
862
                        opn    <= dcdOp;        // Which ALU operation?
863
                        // opM  <= dcdM;        // Is this a memory operation?
864
                        // What register will these results be written into?
865
                        opR    <= dcdR;
866
                        opR_cc <= (dcdR_cc)&&(dcdR_wr)&&(dcdR[4]==dcd_gie);
867
                        // User level (1), vs supervisor (0)/interrupts disabled
868
                        op_gie <= dcd_gie;
869
 
870
 
871
                        //
872
                        op_pc  <= (dcd_early_branch)?dcd_branch_pc:dcd_pc;
873
                end
874
        assign  opFl = (op_gie)?(w_uflags):(w_iflags);
875
 
876
`ifdef  OPT_VLIW
877
        reg     r_op_phase;
878
        initial r_op_phase = 1'b0;
879
        always @(posedge i_clk)
880
                if ((i_rst)||(clear_pipeline))
881
                        r_op_phase <= 1'b0;
882
                else if (op_ce)
883
                        r_op_phase <= dcd_phase;
884
        assign  op_phase = r_op_phase;
885
`else
886
        assign  op_phase = 1'b0;
887
`endif
888
 
889
        // This is tricky.  First, the PC and Flags registers aren't kept in
890
        // register set but in special registers of their own.  So step one
891
        // is to select the right register.  Step to is to replace that
892
        // register with the results of an ALU or memory operation, if such
893
        // results are now available.  Otherwise, we'd need to insert a wait
894
        // state of some type.
895
        //
896
        // The alternative approach would be to define some sort of
897
        // op_stall wire, which would stall any upstream stage.
898
        // We'll create a flag here to start our coordination.  Once we
899
        // define this flag to something other than just plain zero, then
900
        // the stalls will already be in place.
901
`ifdef  OPT_PIPELINED
902
        assign  opA = ((wr_reg_ce)&&(wr_reg_id == opA_id)) // &&(opA_rd))
903
                        ?  wr_reg_vl : r_opA;
904
`else
905
        assign  opA = r_opA;
906
`endif
907
 
908
`ifdef  OPT_PIPELINED
909
        // Stall if we have decoded an instruction that will read register A
910
        //      AND ... something that may write a register is running
911
        //      AND (series of conditions here ...)
912
        //              The operation might set flags, and we wish to read the
913
        //                      CC register
914
        //              OR ... (No other conditions)
915
        assign  dcdA_stall = (dcdA_rd) // &&(dcdvalid) is checked for elsewhere
916
                                &&((opvalid)||(mem_rdbusy)
917
                                        ||(div_busy)||(fpu_busy))
918
                                &&((opF_wr)&&(dcdA_cc));
919
`else
920
        // There are no pipeline hazards, if we aren't pipelined
921
        assign  dcdA_stall = 1'b0;
922
`endif
923
 
924
`ifdef  OPT_PIPELINED
925
        assign  opB = ((wr_reg_ce)&&(wr_reg_id == opB_id)&&(opB_rd))
926
                        ? wr_reg_vl: r_opB;
927
`else
928
        assign  opB = r_opB;
929
`endif
930
 
931
`ifdef  OPT_PIPELINED
932
        // Stall if we have decoded an instruction that will read register B
933
        //      AND ... something that may write a (unknown) register is running
934
        //      AND (series of conditions here ...)
935
        //              The operation might set flags, and we wish to read the
936
        //                      CC register
937
        //              OR the operation might set register B, and we still need
938
        //                      a clock to add the offset to it
939
        assign  dcdB_stall = (dcdB_rd) // &&(dcdvalid) is checked for elsewhere
940
                                // If the op stage isn't valid, yet something
941
                                // is running, then it must have been valid.
942
                                // We'll use the last values from that stage
943
                                // (opR_wr, opF_wr, opR) in our logic below.
944
                                &&((opvalid)||(mem_rdbusy)
945
                                        ||(div_busy)||(fpu_busy))
946
                                &&(
947
                                // Stall on memory ops writing to my register
948
                                //      (i.e. loads), or on any write to my
949
                                //      register if I have an immediate offset
950
                                // Note the exception for writing to the PC:
951
                                //      if I write to the PC, the whole next
952
                                //      instruction is invalid, not just the
953
                                //      operand.  That'll get wiped in the
954
                                //      next operation anyway, so don't stall
955
                                //      here.  This keeps a BC X, BNZ Y from
956
                                //      stalling between the two branches.
957
                                //      BC X, BRA Y is still clear, since BRA Y
958
                                //      is an early branch instruction.
959
                                //      (This exception is commented out in
960
                                //      order to help keep our logic simple, and
961
                                //      because multiple conditional branches
962
                                //      following each other constitutes a
963
                                //      fairly unusualy code structure.)
964
                                //      
965
                                ((~dcd_zI)&&(opR == dcdB)&&(opR_wr))
966
                                        // &&(opR != { op_gie, `CPU_PC_REG } )
967
                                // Stall following any instruction that will
968
                                // set the flags, if we're going to need the
969
                                // flags (CC) register for opB.
970
                                ||((opF_wr)&&(dcdB_cc))
971
                                // Stall on any ongoing memory operation that
972
                                // will write to opB -- captured above
973
                                // ||((mem_busy)&&(~mem_we)&&(mem_last_reg==dcdB)&&(~dcd_zI))
974
                                );
975
        assign  dcdF_stall = ((~dcdF[3])
976
                                        ||((dcdA_rd)&&(dcdA_cc))
977
                                        ||((dcdB_rd)&&(dcdB_cc)))
978
                                        &&(opvalid)&&(opR_cc);
979
                                // &&(dcdvalid) is checked for elsewhere
980
`else
981
        // No stalls without pipelining, 'cause how can you have a pipeline
982
        // hazard without the pipeline?
983
        assign  dcdB_stall = 1'b0;
984
        assign  dcdF_stall = 1'b0;
985
`endif
986
        //
987
        //
988
        //      PIPELINE STAGE #4 :: Apply Instruction
989
        //
990
        //
991
`ifdef  OPT_NEW_INSTRUCTION_SET
992
        cpuops  #(IMPLEMENT_MPY) doalu(i_clk, i_rst, alu_ce,
993
                        (opvalid_alu), opn, opA, opB,
994
                        alu_result, alu_flags, alu_valid, alu_illegal_op,
995
                        alu_busy);
996
`else
997
        cpuops_deprecated       #(IMPLEMENT_MPY) doalu(i_clk, i_rst, alu_ce,
998
                        (opvalid_alu), opn, opA, opB,
999
                        alu_result, alu_flags, alu_valid, alu_illegal_op);
1000
        assign  alu_busy = 1'b0;
1001
`endif
1002
 
1003
        generate
1004
        if (IMPLEMENT_DIVIDE != 0)
1005
        begin
1006
                div thedivide(i_clk, (i_rst)||(clear_pipeline), div_ce, opn[0],
1007
                        opA, opB, div_busy, div_valid, div_error, div_result,
1008
                        div_flags);
1009
        end else begin
1010
                assign  div_error = 1'b1;
1011
                assign  div_busy  = 1'b0;
1012
                assign  div_valid = 1'b0;
1013
                assign  div_result= 32'h00;
1014
                assign  div_flags = 4'h0;
1015
        end endgenerate
1016
 
1017
        generate
1018
        if (IMPLEMENT_FPU != 0)
1019
        begin
1020
                //
1021
                // sfpu thefpu(i_clk, i_rst, fpu_ce,
1022
                //      opA, opB, fpu_busy, fpu_valid, fpu_err, fpu_result,
1023
                //      fpu_flags);
1024
                //
1025
                assign  fpu_error = 1'b1;
1026
                assign  fpu_busy  = 1'b0;
1027
                assign  fpu_valid = 1'b0;
1028
                assign  fpu_result= 32'h00;
1029
                assign  fpu_flags = 4'h0;
1030
        end else begin
1031
                assign  fpu_error = 1'b1;
1032
                assign  fpu_busy  = 1'b0;
1033
                assign  fpu_valid = 1'b0;
1034
                assign  fpu_result= 32'h00;
1035
                assign  fpu_flags = 4'h0;
1036
        end endgenerate
1037
 
1038
 
1039
        assign  set_cond = ((opF[7:4]&opFl[3:0])==opF[3:0]);
1040
        initial alF_wr   = 1'b0;
1041
        initial alu_wr   = 1'b0;
1042
        always @(posedge i_clk)
1043
                if (i_rst)
1044
                begin
1045
                        alu_wr   <= 1'b0;
1046
                        alF_wr   <= 1'b0;
1047
                end else if (alu_ce)
1048
                begin
1049
                        // alu_reg <= opR;
1050
                        alu_wr  <= (opR_wr)&&(set_cond);
1051
                        alF_wr  <= (opF_wr)&&(set_cond);
1052
                end else if (~alu_busy) begin
1053
                        // These are strobe signals, so clear them if not
1054
                        // set for any particular clock
1055
                        alu_wr <= (i_halt)&&(i_dbg_we);
1056
                        alF_wr <= 1'b0;
1057
                end
1058
 
1059
`ifdef  OPT_VLIW
1060
        reg     r_alu_phase;
1061
        initial r_alu_phase = 1'b0;
1062
        always @(posedge i_clk)
1063
                if (i_rst)
1064
                        r_alu_phase <= 1'b0;
1065
                else if ((alu_ce)||(mem_ce)||(div_ce)||(fpu_ce))
1066
                        r_alu_phase <= op_phase;
1067
        assign  alu_phase = r_alu_phase;
1068
`else
1069
        assign  alu_phase = 1'b0;
1070
`endif
1071
 
1072
        always @(posedge i_clk)
1073
                if ((alu_ce)||(div_ce)||(fpu_ce))
1074
                        alu_reg <= opR;
1075
                else if ((i_halt)&&(i_dbg_we))
1076
                        alu_reg <= i_dbg_reg;
1077
 
1078
        reg     [31:0]   dbg_val;
1079
        reg             dbgv;
1080
        always @(posedge i_clk)
1081
                dbg_val <= i_dbg_data;
1082
        initial dbgv = 1'b0;
1083
        always @(posedge i_clk)
1084
                dbgv <= (~i_rst)&&(~alu_ce)&&((i_halt)&&(i_dbg_we));
1085
        always @(posedge i_clk)
1086
                if ((alu_ce)||(mem_ce))
1087
                        alu_gie  <= op_gie;
1088
        always @(posedge i_clk)
1089
                if ((alu_ce)||((master_ce)&&(opvalid_mem)&&(~clear_pipeline)
1090
                                &&(~mem_stalled)))
1091
                        alu_pc  <= op_pc;
1092
 
1093
`ifdef  OPT_ILLEGAL_INSTRUCTION
1094
        reg     r_alu_illegal;
1095
        initial r_alu_illegal = 0;
1096
        always @(posedge i_clk)
1097
                if (clear_pipeline)
1098
                        r_alu_illegal <= 1'b0;
1099
                else if ((alu_ce)||(mem_ce))
1100
                        r_alu_illegal <= op_illegal;
1101
        assign  alu_illegal = (alu_illegal_op)||(r_alu_illegal);
1102
`endif
1103
 
1104
        // This _almost_ is equal to (alu_ce)||(mem_ce).  The only
1105
        // problem is that mem_ce is gated by the set_cond, and
1106
        // the PC will be valid independent of the set condition.  Hence, this
1107
        // equals (alu_ce)||(everything in mem_ce but the set condition)
1108
        initial alu_pc_valid = 1'b0;
1109
        always @(posedge i_clk)
1110
                alu_pc_valid <= ((alu_ce)
1111
                        ||((master_ce)&&(opvalid_mem)&&(~clear_pipeline)&&(~mem_stalled)));
1112
 
1113
        wire    bus_lock;
1114
`ifdef  OPT_PIPELINED
1115
        generate
1116
        if (IMPLEMENT_LOCK != 0)
1117
        begin
1118
                reg     r_bus_lock;
1119
                initial r_bus_lock = 1'b0;
1120
                always @(posedge i_clk)
1121
                        if (i_rst)
1122
                                r_bus_lock <= 1'b0;
1123
                        else if ((op_ce)&&(op_lock))
1124
                                r_bus_lock <= 1'b1;
1125
                        else if (~opvalid_mem)
1126
                                r_bus_lock <= 1'b0;
1127
                assign  bus_lock = r_bus_lock;
1128
        end else begin
1129
                assign  bus_lock = 1'b0;
1130
        end endgenerate
1131
`else
1132
        assign  bus_lock = 1'b0;
1133
`endif
1134
 
1135
`ifdef  OPT_PIPELINED_BUS_ACCESS
1136
        pipemem #(AW,IMPLEMENT_LOCK) domem(i_clk, i_rst,(mem_ce)&&(set_cond), bus_lock,
1137
                                (opn[0]), opB, opA, opR,
1138
                                mem_busy, mem_pipe_stalled,
1139
                                mem_valid, bus_err, mem_wreg, mem_result,
1140
                        mem_cyc_gbl, mem_cyc_lcl,
1141
                                mem_stb_gbl, mem_stb_lcl,
1142
                                mem_we, mem_addr, mem_data,
1143
                                mem_ack, mem_stall, mem_err, i_wb_data);
1144
 
1145
`else // PIPELINED_BUS_ACCESS
1146
        memops  #(AW,IMPLEMENT_LOCK) domem(i_clk, i_rst,(mem_ce)&&(set_cond), bus_lock,
1147
                                (opn[0]), opB, opA, opR,
1148
                                mem_busy,
1149
                                mem_valid, bus_err, mem_wreg, mem_result,
1150
                        mem_cyc_gbl, mem_cyc_lcl,
1151
                                mem_stb_gbl, mem_stb_lcl,
1152
                                mem_we, mem_addr, mem_data,
1153
                                mem_ack, mem_stall, mem_err, i_wb_data);
1154
`endif // PIPELINED_BUS_ACCESS
1155
        assign  mem_rdbusy = ((mem_busy)&&(~mem_we));
1156
 
1157
        // Either the prefetch or the instruction gets the memory bus, but 
1158
        // never both.
1159
        wbdblpriarb     #(32,AW) pformem(i_clk, i_rst,
1160
                // Memory access to the arbiter, priority position
1161
                mem_cyc_gbl, mem_cyc_lcl, mem_stb_gbl, mem_stb_lcl,
1162
                        mem_we, mem_addr, mem_data, mem_ack, mem_stall, mem_err,
1163
                // Prefetch access to the arbiter
1164
                pf_cyc, 1'b0, pf_stb, 1'b0, pf_we, pf_addr, pf_data,
1165
                        pf_ack, pf_stall, pf_err,
1166
                // Common wires, in and out, of the arbiter
1167
                o_wb_gbl_cyc, o_wb_lcl_cyc, o_wb_gbl_stb, o_wb_lcl_stb,
1168
                        o_wb_we, o_wb_addr, o_wb_data,
1169
                        i_wb_ack, i_wb_stall, i_wb_err);
1170
 
1171
        //
1172
        //
1173
        //      PIPELINE STAGE #5 :: Write-back results
1174
        //
1175
        //
1176
        // This stage is not allowed to stall.  If results are ready to be
1177
        // written back, they are written back at all cost.  Sleepy CPU's
1178
        // won't prevent write back, nor debug modes, halting the CPU, nor
1179
        // anything else.  Indeed, the (master_ce) bit is only as relevant
1180
        // as knowinig something is available for writeback.
1181
 
1182
        //
1183
        // Write back to our generic register set ...
1184
        // When shall we write back?  On one of two conditions
1185
        //      Note that the flags needed to be checked before issuing the
1186
        //      bus instruction, so they don't need to be checked here.
1187
        //      Further, alu_wr includes (set_cond), so we don't need to
1188
        //      check for that here either.
1189
`ifdef  OPT_ILLEGAL_INSTRUCTION
1190
        assign  wr_reg_ce = (~alu_illegal)&&
1191
                        (((alu_wr)&&(~clear_pipeline)
1192
                                &&((alu_valid)||(div_valid)||(fpu_valid)))
1193
                        ||(mem_valid));
1194
`else
1195
        assign  wr_reg_ce = ((alu_wr)&&(~clear_pipeline))||(mem_valid)||(div_valid)||(fpu_valid);
1196
`endif
1197
        // Which register shall be written?
1198
        //      COULD SIMPLIFY THIS: by adding three bits to these registers,
1199
        //              One or PC, one for CC, and one for GIE match
1200
        //      Note that the alu_reg is the register to write on a divide or
1201
        //      FPU operation.
1202
        assign  wr_reg_id = (alu_wr)?alu_reg:mem_wreg;
1203
        // Are we writing to the CC register?
1204
        assign  wr_write_cc = (wr_reg_id[3:0] == `CPU_CC_REG);
1205
        // Are we writing to the PC?
1206
        assign  wr_write_pc = (wr_reg_id[3:0] == `CPU_PC_REG);
1207
        // What value to write?
1208
        assign  wr_reg_vl = ((mem_valid) ? mem_result
1209
                                :((div_valid|fpu_valid))
1210
                                        ? ((div_valid) ? div_result:fpu_result)
1211
                                :((dbgv) ? dbg_val : alu_result));
1212
        always @(posedge i_clk)
1213
                if (wr_reg_ce)
1214
                        regset[wr_reg_id] <= wr_reg_vl;
1215
 
1216
        //
1217
        // Write back to the condition codes/flags register ...
1218
        // When shall we write to our flags register?  alF_wr already
1219
        // includes the set condition ...
1220
        assign  wr_flags_ce = ((alF_wr)||(div_valid)||(fpu_valid))&&(~clear_pipeline)&&(~alu_illegal);
1221
        assign  w_uflags = { uhalt_phase, ufpu_err_flag,
1222
                        udiv_err_flag, ubus_err_flag, trap, ill_err_u,
1223
                        1'b0, step, 1'b1, sleep,
1224
                        ((wr_flags_ce)&&(alu_gie))?alu_flags:flags };
1225
        assign  w_iflags = { ihalt_phase, ifpu_err_flag,
1226
                        idiv_err_flag, ibus_err_flag, trap, ill_err_i,
1227
                        break_en, 1'b0, 1'b0, sleep,
1228
                        ((wr_flags_ce)&&(~alu_gie))?alu_flags:iflags };
1229
 
1230
 
1231
        // What value to write?
1232
        always @(posedge i_clk)
1233
                // If explicitly writing the register itself
1234
                if ((wr_reg_ce)&&(wr_reg_id[4])&&(wr_write_cc))
1235
                        flags <= wr_reg_vl[3:0];
1236
                // Otherwise if we're setting the flags from an ALU operation
1237
                else if ((wr_flags_ce)&&(alu_gie))
1238
                        flags <= (div_valid)?div_flags:((fpu_valid)?fpu_flags
1239
                                : alu_flags);
1240
 
1241
        always @(posedge i_clk)
1242
                if ((wr_reg_ce)&&(~wr_reg_id[4])&&(wr_write_cc))
1243
                        iflags <= wr_reg_vl[3:0];
1244
                else if ((wr_flags_ce)&&(~alu_gie))
1245
                        iflags <= (div_valid)?div_flags:((fpu_valid)?fpu_flags
1246
                                : alu_flags);
1247
 
1248
        // The 'break' enable  bit.  This bit can only be set from supervisor
1249
        // mode.  It control what the CPU does upon encountering a break
1250
        // instruction.
1251
        //
1252
        // The goal, upon encountering a break is that the CPU should stop and
1253
        // not execute the break instruction, choosing instead to enter into
1254
        // either interrupt mode or halt first.  
1255
        //      if ((break_en) AND (break_instruction)) // user mode or not
1256
        //              HALT CPU
1257
        //      else if (break_instruction) // only in user mode
1258
        //              set an interrupt flag, go to supervisor mode
1259
        //              allow supervisor to step the CPU.
1260
        //      Upon a CPU halt, any break condition will be reset.  The
1261
        //      external debugger will then need to deal with whatever
1262
        //      condition has taken place.
1263
        initial break_en = 1'b0;
1264
        always @(posedge i_clk)
1265
                if ((i_rst)||(i_halt))
1266
                        break_en <= 1'b0;
1267
                else if ((wr_reg_ce)&&(~wr_reg_id[4])&&(wr_write_cc))
1268
                        break_en <= wr_reg_vl[`CPU_BREAK_BIT];
1269
`ifdef  OPT_ILLEGAL_INSTRUCTION
1270
        assign  o_break = ((break_en)||(~op_gie))&&(op_break)
1271
                                &&(~alu_valid)&&(~mem_valid)&&(~mem_busy)
1272
                                &&(~div_busy)&&(~fpu_busy)
1273
                                &&(~clear_pipeline)
1274
                        ||((~alu_gie)&&(bus_err))
1275
                        ||((~alu_gie)&&(div_valid)&&(div_error))
1276
                        ||((~alu_gie)&&(fpu_valid)&&(fpu_error))
1277
                        ||((~alu_gie)&&(alu_pc_valid)&&(alu_illegal));
1278
`else
1279
        assign  o_break = (((break_en)||(~op_gie))&&(op_break)
1280
                                &&(~alu_valid)&&(~mem_valid)&&(~mem_busy)
1281
                                &&(~clear_pipeline))
1282
                        ||((~alu_gie)&&(bus_err));
1283
`endif
1284
 
1285
 
1286
        // The sleep register.  Setting the sleep register causes the CPU to
1287
        // sleep until the next interrupt.  Setting the sleep register within
1288
        // interrupt mode causes the processor to halt until a reset.  This is
1289
        // a panic/fault halt.  The trick is that you cannot be allowed to
1290
        // set the sleep bit and switch to supervisor mode in the same 
1291
        // instruction: users are not allowed to halt the CPU.
1292
        always @(posedge i_clk)
1293
                if ((i_rst)||(w_switch_to_interrupt))
1294
                        sleep <= 1'b0;
1295
                else if ((wr_reg_ce)&&(wr_write_cc)&&(~alu_gie))
1296
                        // In supervisor mode, we have no protections.  The
1297
                        // supervisor can set the sleep bit however he wants.
1298
                        // Well ... not quite.  Switching to user mode and
1299
                        // sleep mode shouold only be possible if the interrupt
1300
                        // flag isn't set.
1301
                        //      Thus: if (i_interrupt)&&(wr_reg_vl[GIE])
1302
                        //              don't set the sleep bit
1303
                        //      otherwise however it would o.w. be set
1304
                        sleep <= (wr_reg_vl[`CPU_SLEEP_BIT])
1305
                                &&((~i_interrupt)||(~wr_reg_vl[`CPU_GIE_BIT]));
1306
                else if ((wr_reg_ce)&&(wr_write_cc)&&(wr_reg_vl[`CPU_GIE_BIT]))
1307
                        // In user mode, however, you can only set the sleep
1308
                        // mode while remaining in user mode.  You can't switch
1309
                        // to sleep mode *and* supervisor mode at the same
1310
                        // time, lest you halt the CPU.
1311
                        sleep <= wr_reg_vl[`CPU_SLEEP_BIT];
1312
 
1313
        always @(posedge i_clk)
1314
                if ((i_rst)||(w_switch_to_interrupt))
1315
                        step <= 1'b0;
1316
                else if ((wr_reg_ce)&&(~alu_gie)&&(wr_reg_id[4])&&(wr_write_cc))
1317
                        step <= wr_reg_vl[`CPU_STEP_BIT];
1318
                else if ((alu_pc_valid)&&(step)&&(gie))
1319
                        step <= 1'b0;
1320
 
1321
        // The GIE register.  Only interrupts can disable the interrupt register
1322
        assign  w_switch_to_interrupt = (gie)&&(
1323
                        // On interrupt (obviously)
1324
                        ((i_interrupt)&&(~alu_phase)&&(~bus_lock))
1325
                        // If we are stepping the CPU
1326
                        ||((alu_pc_valid)&&(step)&&(~alu_phase)&&(~bus_lock))
1327
                        // If we encounter a break instruction, if the break
1328
                        //      enable isn't set.
1329
                        ||((master_ce)&&(~mem_rdbusy)&&(~div_busy)&&(~fpu_busy)
1330
                                &&(op_break)&&(~break_en))
1331
`ifdef  OPT_ILLEGAL_INSTRUCTION
1332
                        // On an illegal instruction
1333
                        ||((alu_pc_valid)&&(alu_illegal))
1334
`endif
1335
                        // On division by zero.  If the divide isn't
1336
                        // implemented, div_valid and div_error will be short
1337
                        // circuited and that logic will be bypassed
1338
                        ||((div_valid)&&(div_error))
1339
                        // Same thing on a floating point error.
1340
                        ||((fpu_valid)&&(fpu_error))
1341
                        //      
1342
                        ||(bus_err)
1343
                        // If we write to the CC register
1344
                        ||((wr_reg_ce)&&(~wr_reg_vl[`CPU_GIE_BIT])
1345
                                &&(wr_reg_id[4])&&(wr_write_cc))
1346
                        );
1347
        assign  w_release_from_interrupt = (~gie)&&(~i_interrupt)
1348
                        // Then if we write the CC register
1349
                        &&(((wr_reg_ce)&&(wr_reg_vl[`CPU_GIE_BIT])
1350
                                &&(~wr_reg_id[4])&&(wr_write_cc))
1351
                        );
1352
        always @(posedge i_clk)
1353
                if (i_rst)
1354
                        gie <= 1'b0;
1355
                else if (w_switch_to_interrupt)
1356
                        gie <= 1'b0;
1357
                else if (w_release_from_interrupt)
1358
                        gie <= 1'b1;
1359
 
1360
        initial trap = 1'b0;
1361
        always @(posedge i_clk)
1362
                if (i_rst)
1363
                        trap <= 1'b0;
1364
                else if ((alu_gie)&&(wr_reg_ce)&&(~wr_reg_vl[`CPU_GIE_BIT])
1365
                                &&(wr_write_cc)) // &&(wr_reg_id[4]) implied
1366
                        trap <= 1'b1;
1367
                else if (w_release_from_interrupt)
1368
                        trap <= 1'b0;
1369
 
1370
`ifdef  OPT_ILLEGAL_INSTRUCTION
1371
        initial ill_err_i = 1'b0;
1372
        always @(posedge i_clk)
1373
                if (i_rst)
1374
                        ill_err_i <= 1'b0;
1375
                // The debug interface can clear this bit
1376
                else if ((dbgv)&&(wr_reg_id == {1'b0, `CPU_CC_REG})
1377
                                &&(~wr_reg_vl[`CPU_ILL_BIT]))
1378
                        ill_err_i <= 1'b0;
1379
                else if ((alu_pc_valid)&&(alu_illegal)&&(~alu_gie))
1380
                        ill_err_i <= 1'b1;
1381
        initial ill_err_u = 1'b0;
1382
        always @(posedge i_clk)
1383
                if (i_rst)
1384
                        ill_err_u <= 1'b0;
1385
                // The bit is automatically cleared on release from interrupt
1386
                else if (w_release_from_interrupt)
1387
                        ill_err_u <= 1'b0;
1388
                // If the supervisor writes to this register, clearing the
1389
                // bit, then clear it
1390
                else if (((~alu_gie)||(dbgv))
1391
                                &&(wr_reg_ce)&&(~wr_reg_vl[`CPU_ILL_BIT])
1392
                                &&(wr_reg_id[4])&&(wr_write_cc))
1393
                        ill_err_u <= 1'b0;
1394
                else if ((alu_pc_valid)&&(alu_illegal)&&(alu_gie))
1395
                        ill_err_u <= 1'b1;
1396
`else
1397
        assign ill_err_u = 1'b0;
1398
        assign ill_err_i = 1'b0;
1399
`endif
1400
        // Supervisor/interrupt bus error flag -- this will crash the CPU if
1401
        // ever set.
1402
        initial ibus_err_flag = 1'b0;
1403
        always @(posedge i_clk)
1404
                if (i_rst)
1405
                        ibus_err_flag <= 1'b0;
1406
                else if ((dbgv)&&(wr_reg_id == {1'b0, `CPU_CC_REG})
1407
                                &&(~wr_reg_vl[`CPU_BUSERR_BIT]))
1408
                        ibus_err_flag <= 1'b0;
1409
                else if ((bus_err)&&(~alu_gie))
1410
                        ibus_err_flag <= 1'b1;
1411
        // User bus error flag -- if ever set, it will cause an interrupt to
1412
        // supervisor mode.  
1413
        initial ubus_err_flag = 1'b0;
1414
        always @(posedge i_clk)
1415
                if (i_rst)
1416
                        ubus_err_flag <= 1'b0;
1417
                else if (w_release_from_interrupt)
1418
                        ubus_err_flag <= 1'b0;
1419
                // else if ((i_halt)&&(i_dbg_we)&&(~i_dbg_reg[4])
1420
                                // &&(i_dbg_reg == {1'b1, `CPU_CC_REG})
1421
                                // &&(~i_dbg_data[`CPU_BUSERR_BIT]))
1422
                        // ubus_err_flag <= 1'b0;
1423
                else if (((~alu_gie)||(dbgv))&&(wr_reg_ce)
1424
                                &&(~wr_reg_vl[`CPU_BUSERR_BIT])
1425
                                &&(wr_reg_id[4])&&(wr_write_cc))
1426
                        ubus_err_flag <= 1'b0;
1427
                else if ((bus_err)&&(alu_gie))
1428
                        ubus_err_flag <= 1'b1;
1429
 
1430
        generate
1431
        if (IMPLEMENT_DIVIDE != 0)
1432
        begin
1433
                reg     r_idiv_err_flag, r_udiv_err_flag;
1434
 
1435
                // Supervisor/interrupt divide (by zero) error flag -- this will
1436
                // crash the CPU if ever set.  This bit is thus available for us
1437
                // to be able to tell if/why the CPU crashed.
1438
                initial r_idiv_err_flag = 1'b0;
1439
                always @(posedge i_clk)
1440
                        if (i_rst)
1441
                                r_idiv_err_flag <= 1'b0;
1442
                        else if ((dbgv)&&(wr_reg_id == {1'b0, `CPU_CC_REG})
1443
                                        &&(~wr_reg_vl[`CPU_DIVERR_BIT]))
1444
                                r_idiv_err_flag <= 1'b0;
1445
                        else if ((div_error)&&(div_valid)&&(~alu_gie))
1446
                                r_idiv_err_flag <= 1'b1;
1447
                // User divide (by zero) error flag -- if ever set, it will
1448
                // cause a sudden switch interrupt to supervisor mode.  
1449
                initial r_udiv_err_flag = 1'b0;
1450
                always @(posedge i_clk)
1451
                        if (i_rst)
1452
                                r_udiv_err_flag <= 1'b0;
1453
                        else if (w_release_from_interrupt)
1454
                                r_udiv_err_flag <= 1'b0;
1455
                        else if (((~alu_gie)||(dbgv))&&(wr_reg_ce)
1456
                                        &&(~wr_reg_vl[`CPU_DIVERR_BIT])
1457
                                        &&(wr_reg_id[4])&&(wr_write_cc))
1458
                                r_udiv_err_flag <= 1'b0;
1459
                        else if ((div_error)&&(alu_gie)&&(div_valid))
1460
                                r_udiv_err_flag <= 1'b1;
1461
 
1462
                assign  idiv_err_flag = r_idiv_err_flag;
1463
                assign  udiv_err_flag = r_udiv_err_flag;
1464
        end else begin
1465
                assign  idiv_err_flag = 1'b0;
1466
                assign  udiv_err_flag = 1'b0;
1467
        end endgenerate
1468
 
1469
        generate
1470
        if (IMPLEMENT_FPU !=0)
1471
        begin
1472
                // Supervisor/interrupt floating point error flag -- this will
1473
                // crash the CPU if ever set.
1474
                reg             r_ifpu_err_flag, r_ufpu_err_flag;
1475
                initial r_ifpu_err_flag = 1'b0;
1476
                always @(posedge i_clk)
1477
                        if (i_rst)
1478
                                r_ifpu_err_flag <= 1'b0;
1479
                        else if ((dbgv)&&(wr_reg_id == {1'b0, `CPU_CC_REG})
1480
                                        &&(~wr_reg_vl[`CPU_FPUERR_BIT]))
1481
                                r_ifpu_err_flag <= 1'b0;
1482
                        else if ((fpu_error)&&(fpu_valid)&&(~alu_gie))
1483
                                r_ifpu_err_flag <= 1'b1;
1484
                // User floating point error flag -- if ever set, it will cause
1485
                // a sudden switch interrupt to supervisor mode.  
1486
                initial r_ufpu_err_flag = 1'b0;
1487
                always @(posedge i_clk)
1488
                        if (i_rst)
1489
                                r_ufpu_err_flag <= 1'b0;
1490
                        else if (w_release_from_interrupt)
1491
                                r_ufpu_err_flag <= 1'b0;
1492
                        else if (((~alu_gie)||(dbgv))&&(wr_reg_ce)
1493
                                        &&(~wr_reg_vl[`CPU_FPUERR_BIT])
1494
                                        &&(wr_reg_id[4])&&(wr_write_cc))
1495
                                r_ufpu_err_flag <= 1'b0;
1496
                        else if ((fpu_error)&&(alu_gie)&&(fpu_valid))
1497
                                r_ufpu_err_flag <= 1'b1;
1498
 
1499
                assign  ifpu_err_flag = r_ifpu_err_flag;
1500
                assign  ufpu_err_flag = r_ufpu_err_flag;
1501
        end else begin
1502
                assign  ifpu_err_flag = 1'b0;
1503
                assign  ufpu_err_flag = 1'b0;
1504
        end endgenerate
1505
 
1506
`ifdef  OPT_VLIW
1507
        reg             r_ihalt_phase, r_uhalt_phase;
1508
 
1509
        initial r_ihalt_phase = 0;
1510
        initial r_uhalt_phase = 0;
1511
        always @(posedge i_clk)
1512
                if (~alu_gie)
1513
                        r_ihalt_phase <= alu_phase;
1514
        always @(posedge i_clk)
1515
                if (alu_gie)
1516
                        r_uhalt_phase <= alu_phase;
1517
                else if (w_release_from_interrupt)
1518
                        r_uhalt_phase <= 1'b0;
1519
 
1520
        assign  ihalt_phase = r_ihalt_phase;
1521
        assign  uhalt_phase = r_uhalt_phase;
1522
`else
1523
        assign  ihalt_phase = 1'b0;
1524
        assign  uhalt_phase = 1'b0;
1525
`endif
1526
 
1527
        //
1528
        // Write backs to the PC register, and general increments of it
1529
        //      We support two: upc and ipc.  If the instruction is normal,
1530
        // we increment upc, if interrupt level we increment ipc.  If
1531
        // the instruction writes the PC, we write whichever PC is appropriate.
1532
        //
1533
        // Do we need to all our partial results from the pipeline?
1534
        // What happens when the pipeline has gie and ~gie instructions within
1535
        // it?  Do we clear both?  What if a gie instruction tries to clear
1536
        // a non-gie instruction?
1537
        always @(posedge i_clk)
1538
                if ((wr_reg_ce)&&(wr_reg_id[4])&&(wr_write_pc))
1539
                        upc <= wr_reg_vl[(AW-1):0];
1540
                else if ((alu_gie)&&(alu_pc_valid)&&(~clear_pipeline))
1541
                        upc <= alu_pc;
1542
 
1543
        always @(posedge i_clk)
1544
                if (i_rst)
1545
                        ipc <= RESET_ADDRESS;
1546
                else if ((wr_reg_ce)&&(~wr_reg_id[4])&&(wr_write_pc))
1547
                        ipc <= wr_reg_vl[(AW-1):0];
1548
                else if ((~alu_gie)&&(alu_pc_valid)&&(~clear_pipeline))
1549
                        ipc <= alu_pc;
1550
 
1551
        always @(posedge i_clk)
1552
                if (i_rst)
1553
                        pf_pc <= RESET_ADDRESS;
1554
                else if (w_switch_to_interrupt)
1555
                        pf_pc <= ipc;
1556
                else if (w_release_from_interrupt)
1557
                        pf_pc <= upc;
1558
                else if ((wr_reg_ce)&&(wr_reg_id[4] == gie)&&(wr_write_pc))
1559
                        pf_pc <= wr_reg_vl[(AW-1):0];
1560
`ifdef  OPT_PIPELINED
1561
                else if ((dcd_early_branch)&&(~clear_pipeline))
1562
                        pf_pc <= dcd_branch_pc + 1;
1563
                else if ((new_pc)||((~dcd_stalled)&&(pf_valid)))
1564
                        pf_pc <= pf_pc + {{(AW-1){1'b0}},1'b1};
1565
`else
1566
                else if ((alu_pc_valid)&&(~clear_pipeline))
1567
                        pf_pc <= alu_pc;
1568
`endif
1569
 
1570
        initial new_pc = 1'b1;
1571
        always @(posedge i_clk)
1572
                if ((i_rst)||(i_clear_pf_cache))
1573
                        new_pc <= 1'b1;
1574
                else if (w_switch_to_interrupt)
1575
                        new_pc <= 1'b1;
1576
                else if (w_release_from_interrupt)
1577
                        new_pc <= 1'b1;
1578
                else if ((wr_reg_ce)&&(wr_reg_id[4] == gie)&&(wr_write_pc))
1579
                        new_pc <= 1'b1;
1580
                else
1581
                        new_pc <= 1'b0;
1582
 
1583
        //
1584
        // The debug interface
1585
        generate
1586
        if (AW<32)
1587
        begin
1588
                always @(posedge i_clk)
1589
                begin
1590
                        o_dbg_reg <= regset[i_dbg_reg];
1591
                        if (i_dbg_reg[3:0] == `CPU_PC_REG)
1592
                                o_dbg_reg <= {{(32-AW){1'b0}},(i_dbg_reg[4])?upc:ipc};
1593
                        else if (i_dbg_reg[3:0] == `CPU_CC_REG)
1594
                        begin
1595
                                o_dbg_reg[13:0] <= (i_dbg_reg[4])?w_uflags:w_iflags;
1596
                                o_dbg_reg[`CPU_GIE_BIT] <= gie;
1597
                        end
1598
                end
1599
        end else begin
1600
                always @(posedge i_clk)
1601
                begin
1602
                        o_dbg_reg <= regset[i_dbg_reg];
1603
                        if (i_dbg_reg[3:0] == `CPU_PC_REG)
1604
                                o_dbg_reg <= (i_dbg_reg[4])?upc:ipc;
1605
                        else if (i_dbg_reg[3:0] == `CPU_CC_REG)
1606
                        begin
1607
                                o_dbg_reg[13:0] <= (i_dbg_reg[4])?w_uflags:w_iflags;
1608
                                o_dbg_reg[`CPU_GIE_BIT] <= gie;
1609
                        end
1610
                end
1611
        end endgenerate
1612
 
1613
        always @(posedge i_clk)
1614
                o_dbg_cc <= { o_break, bus_err, gie, sleep };
1615
 
1616
        always @(posedge i_clk)
1617
                o_dbg_stall <= (i_halt)&&(
1618
                        (pf_cyc)||(mem_cyc_gbl)||(mem_cyc_lcl)||(mem_busy)
1619
                        ||((~opvalid)&&(~i_rst))
1620
                        ||((~dcdvalid)&&(~i_rst)));
1621
 
1622
        //
1623
        //
1624
        // Produce accounting outputs: Account for any CPU stalls, so we can
1625
        // later evaluate how well we are doing.
1626
        //
1627
        //
1628
        assign  o_op_stall = (master_ce)&&(op_stall);
1629
        assign  o_pf_stall = (master_ce)&&(~pf_valid);
1630
        assign  o_i_count  = (alu_pc_valid)&&(~clear_pipeline);
1631
 
1632
`ifdef  DEBUG_SCOPE
1633
        always @(posedge i_clk)
1634
                o_debug <= {
1635
                        pf_pc[3:0], flags,
1636
                        pf_valid, dcdvalid, opvalid, alu_valid, mem_valid,
1637
                        op_ce, alu_ce, mem_ce,
1638
                        //
1639
                        master_ce, opvalid_alu, opvalid_mem,
1640
                        //
1641
                        alu_stall, mem_busy, op_pipe, mem_pipe_stalled,
1642
                        mem_we,
1643
                        // ((opvalid_alu)&&(alu_stall))
1644
                        // ||((opvalid_mem)&&(~op_pipe)&&(mem_busy))
1645
                        // ||((opvalid_mem)&&( op_pipe)&&(mem_pipe_stalled)));
1646
                        // opA[23:20], opA[3:0],
1647
                        gie, sleep,
1648
                        wr_reg_ce, wr_reg_vl[4:0]
1649
                /*
1650
                        i_rst, master_ce, (new_pc),
1651
                        ((dcd_early_branch)&&(dcdvalid)),
1652
                        pf_valid, pf_illegal,
1653
                        op_ce, dcd_ce, dcdvalid, dcd_stalled,
1654
                        pf_cyc, pf_stb, pf_we, pf_ack, pf_stall, pf_err,
1655
                        pf_pc[7:0], pf_addr[7:0]
1656
                */
1657
                        };
1658
`endif
1659
 
1660
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.