OpenCores
URL https://opencores.org/ocsvn/s6soc/s6soc/trunk

Subversion Repositories s6soc

[/] [s6soc/] [trunk/] [rtl/] [cpu/] [ziptimer.v] - Blame information for rev 46

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 46 dgisselq
////////////////////////////////////////////////////////////////////////////////
2 2 dgisselq
//
3
// Filename:    ziptimer.v
4
//
5
// Project:     Zip CPU -- a small, lightweight, RISC CPU soft core
6
//
7
// Purpose:     A lighter weight implementation of the Zip Timer.
8
//
9
// Interface:
10
//      Two options:
11
//      1. One combined register for both control and value, and ...
12
//              The reload value is set any time the timer data value is "set".
13
//              Reading the register returns the timer value.  Controls are
14
//              set so that writing a value to the timer automatically starts
15
//              it counting down.
16
//      2. Two registers, one for control one for value.
17
//              The control register would have the reload value in it.
18
//      On the clock when the interface is set to zero the interrupt is set.
19
//              Hence setting the timer to zero will disable the timer without
20
//              setting any interrupts.  Thus setting it to five will count
21
//              5 clocks: 5, 4, 3, 2, 1, Interrupt.
22
//
23
//
24
//      Control bits:
25
//              (Start_n/Stop.  This bit has been dropped.  Writing to this
26
//                      timer any value but zero starts it.  Writing a zero
27
//                      clears and stops it.)
28
//              AutoReload.  If set, then on reset the timer automatically
29
//                      loads the last set value and starts over.  This is
30
//                      useful for distinguishing between a one-time interrupt
31
//                      timer, and a repetitive interval timer.
32
//              (INTEN.  Interrupt enable--reaching zero always creates an
33
//                      interrupt, so this control bit isn't needed.  The
34
//                      interrupt controller can be used to mask the interrupt.)
35
//              (COUNT-DOWN/UP: This timer is *only* a count-down timer.
36
//                      There is no means of setting it to count up.)
37
//      WatchDog
38
//              This timer can be implemented as a watchdog timer simply by
39
//              connecting the interrupt line to the reset line of the CPU.
40
//              When the timer then expires, it will trigger a CPU reset.
41
//
42
//
43
// Creator:     Dan Gisselquist, Ph.D.
44
//              Gisselquist Technology, LLC
45
//
46 46 dgisselq
////////////////////////////////////////////////////////////////////////////////
47 2 dgisselq
//
48 46 dgisselq
// Copyright (C) 2015,2017, Gisselquist Technology, LLC
49 2 dgisselq
//
50
// This program is free software (firmware): you can redistribute it and/or
51
// modify it under the terms of  the GNU General Public License as published
52
// by the Free Software Foundation, either version 3 of the License, or (at
53
// your option) any later version.
54
//
55
// This program is distributed in the hope that it will be useful, but WITHOUT
56
// ANY WARRANTY; without even the implied warranty of MERCHANTIBILITY or
57
// FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
58
// for more details.
59
//
60 46 dgisselq
// You should have received a copy of the GNU General Public License along
61
// with this program.  (It's in the $(ROOT)/doc directory.  Run make with no
62
// target there if the PDF file isn't present.)  If not, see
63
// <http://www.gnu.org/licenses/> for a copy.
64
//
65 2 dgisselq
// License:     GPL, v3, as defined and found on www.gnu.org,
66
//              http://www.gnu.org/licenses/gpl.html
67
//
68
//
69 46 dgisselq
////////////////////////////////////////////////////////////////////////////////
70 2 dgisselq
//
71 46 dgisselq
//
72 2 dgisselq
module  ziptimer(i_clk, i_rst, i_ce,
73
                i_wb_cyc, i_wb_stb, i_wb_we, i_wb_data,
74
                        o_wb_ack, o_wb_stall, o_wb_data,
75
                o_int);
76 24 dgisselq
        parameter       BW = 32, VW = (BW-1), RELOADABLE=1;
77 2 dgisselq
        input                   i_clk, i_rst, i_ce;
78
        // Wishbone inputs
79
        input                   i_wb_cyc, i_wb_stb, i_wb_we;
80
        input   [(BW-1):0]       i_wb_data;
81
        // Wishbone outputs
82
        output  reg                     o_wb_ack;
83
        output  wire                    o_wb_stall;
84
        output  wire    [(BW-1):0]       o_wb_data;
85
        // Interrupt line
86
        output  reg             o_int;
87
 
88 24 dgisselq
        reg                     r_running;
89 2 dgisselq
 
90
        wire    wb_write;
91
        assign  wb_write = ((i_wb_cyc)&&(i_wb_stb)&&(i_wb_we));
92
 
93 46 dgisselq
        wire    auto_reload;
94 24 dgisselq
        wire    [(VW-1):0]       reload_value;
95
 
96 2 dgisselq
        initial r_running = 1'b0;
97
        always @(posedge i_clk)
98
                if (i_rst)
99
                        r_running <= 1'b0;
100
                else if (wb_write)
101
                        r_running <= (|i_wb_data[(VW-1):0]);
102 24 dgisselq
                else if ((o_int)&&(~auto_reload))
103 2 dgisselq
                        r_running <= 1'b0;
104
 
105 24 dgisselq
        generate
106
        if (RELOADABLE != 0)
107
        begin
108 46 dgisselq
                reg     r_auto_reload;
109 24 dgisselq
                reg     [(VW-1):0]       r_reload_value;
110 2 dgisselq
 
111 24 dgisselq
                initial r_auto_reload = 1'b0;
112 46 dgisselq
 
113 24 dgisselq
                always @(posedge i_clk)
114
                        if (wb_write)
115
                                r_auto_reload <= (i_wb_data[(BW-1)]);
116 2 dgisselq
 
117 24 dgisselq
                assign  auto_reload = r_auto_reload;
118
 
119
                // If setting auto-reload mode, and the value to other
120
                // than zero, set the auto-reload value
121
                always @(posedge i_clk)
122
                        if ((wb_write)&&(i_wb_data[(BW-1)])&&(|i_wb_data[(VW-1):0]))
123
                                r_reload_value <= i_wb_data[(VW-1):0];
124
                assign  reload_value = r_reload_value;
125
        end else begin
126
                assign  auto_reload = 1'b0;
127
                assign  reload_value = 0;
128
        end endgenerate
129
 
130
 
131 2 dgisselq
        reg     [(VW-1):0]       r_value;
132
        initial r_value = 0;
133
        always @(posedge i_clk)
134
                if (wb_write)
135
                        r_value <= i_wb_data[(VW-1):0];
136
                else if ((r_running)&&(i_ce)&&(~o_int))
137
                        r_value <= r_value + {(VW){1'b1}}; // r_value - 1;
138 24 dgisselq
                else if ((r_running)&&(auto_reload)&&(o_int))
139
                        r_value <= reload_value;
140 2 dgisselq
 
141 24 dgisselq
        // Set the interrupt on our last tick, as we transition from one to
142
        // zero.
143 2 dgisselq
        initial o_int   = 1'b0;
144
        always @(posedge i_clk)
145 16 dgisselq
                if (i_rst)
146
                        o_int <= 1'b0;
147
                else if (i_ce)
148 8 dgisselq
                o_int <= (r_running)&&(r_value == { {(VW-1){1'b0}}, 1'b1 });
149 2 dgisselq
                else
150
                        o_int <= 1'b0;
151
 
152
        initial o_wb_ack = 1'b0;
153
        always @(posedge i_clk)
154
                o_wb_ack <= (i_wb_cyc)&&(i_wb_stb);
155
        assign  o_wb_stall = 1'b0;
156
 
157 4 dgisselq
        generate
158
        if (VW < BW-1)
159 24 dgisselq
                assign  o_wb_data = { auto_reload, {(BW-1-VW){1'b0}}, r_value };
160 8 dgisselq
        else
161 24 dgisselq
                assign  o_wb_data = { auto_reload, r_value };
162 4 dgisselq
        endgenerate
163 2 dgisselq
 
164
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.