OpenCores
URL https://opencores.org/ocsvn/s6soc/s6soc/trunk

Subversion Repositories s6soc

[/] [s6soc/] [trunk/] [rtl/] [wbscope.v] - Blame information for rev 46

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 46 dgisselq
////////////////////////////////////////////////////////////////////////////////
2 2 dgisselq
//
3
// Filename:    wbscope.v
4
//
5 46 dgisselq
// Project:     WBScope, a wishbone hosted scope
6 2 dgisselq
//
7
// Purpose:     This is a generic/library routine for providing a bus accessed
8 46 dgisselq
//      'scope' or (perhaps more appropriately) a bus accessed logic analyzer.
9
//      The general operation is such that this 'scope' can record and report
10
//      on any 32 bit value transiting through the FPGA.  Once started and
11
//      reset, the scope records a copy of the input data every time the clock
12
//      ticks with the circuit enabled.  That is, it records these values up
13
//      until the trigger.  Once the trigger goes high, the scope will record
14
//      for bw_holdoff more counts before stopping.  Values may then be read
15
//      from the buffer, oldest to most recent.  After reading, the scope may
16
//      then be reset for another run.
17 2 dgisselq
//
18 46 dgisselq
//      In general, therefore, operation happens in this fashion:
19 2 dgisselq
//              1. A reset is issued.
20
//              2. Recording starts, in a circular buffer, and continues until
21
//              3. The trigger line is asserted.
22
//                      The scope registers the asserted trigger by setting
23
//                      the 'o_triggered' output flag.
24
//              4. A counter then ticks until the last value is written
25
//                      The scope registers that it has stopped recording by
26
//                      setting the 'o_stopped' output flag.
27
//              5. The scope recording is then paused until the next reset.
28
//              6. While stopped, the CPU can read the data from the scope
29
//              7. -- oldest to most recent
30
//              8. -- one value per i_rd&i_clk
31
//              9. Writes to the data register reset the address to the
32
//                      beginning of the buffer
33
//
34
//      Although the data width DW is parameterized, it is not very changable,
35
//      since the width is tied to the width of the data bus, as is the 
36
//      control word.  Therefore changing the data width would require changing
37
//      the interface.  It's doable, but it would be a change to the interface.
38
//
39
//      The SYNCHRONOUS parameter turns on and off meta-stability
40
//      synchronization.  Ideally a wishbone scope able to handle one or two
41
//      clocks would have a changing number of ports as this SYNCHRONOUS
42
//      parameter changed.  Other than running another script to modify
43
//      this, I don't know how to do that so ... we'll just leave it running
44
//      off of two clocks or not.
45
//
46
//
47
//      Internal to this routine, registers and wires are named with one of the
48
//      following prefixes:
49
//
50
//      i_      An input port to the routine
51
//      o_      An output port of the routine
52
//      br_     A register, controlled by the bus clock
53
//      dr_     A register, controlled by the data clock
54
//      bw_     A wire/net, controlled by the bus clock
55
//      dw_     A wire/net, controlled by the data clock
56
//
57
// Creator:     Dan Gisselquist, Ph.D.
58
//              Gisselquist Technology, LLC
59
//
60 46 dgisselq
////////////////////////////////////////////////////////////////////////////////
61 2 dgisselq
//
62 46 dgisselq
// Copyright (C) 2015-2017, Gisselquist Technology, LLC
63 2 dgisselq
//
64
// This program is free software (firmware): you can redistribute it and/or
65
// modify it under the terms of  the GNU General Public License as published
66
// by the Free Software Foundation, either version 3 of the License, or (at
67
// your option) any later version.
68
//
69
// This program is distributed in the hope that it will be useful, but WITHOUT
70
// ANY WARRANTY; without even the implied warranty of MERCHANTIBILITY or
71
// FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
72
// for more details.
73
//
74
// You should have received a copy of the GNU General Public License along
75 46 dgisselq
// with this program.  (It's in the $(ROOT)/doc directory.  Run make with no
76 2 dgisselq
// target there if the PDF file isn't present.)  If not, see
77
// <http://www.gnu.org/licenses/> for a copy.
78
//
79
// License:     GPL, v3, as defined and found on www.gnu.org,
80
//              http://www.gnu.org/licenses/gpl.html
81
//
82
//
83 46 dgisselq
////////////////////////////////////////////////////////////////////////////////
84
//
85
//
86 2 dgisselq
module wbscope(i_clk, i_ce, i_trigger, i_data,
87
        i_wb_clk, i_wb_cyc, i_wb_stb, i_wb_we, i_wb_addr, i_wb_data,
88
        o_wb_ack, o_wb_stall, o_wb_data,
89
        o_interrupt);
90 46 dgisselq
        parameter       LGMEM = 5'd10, BUSW = 32, SYNCHRONOUS=1,
91
                        DEFAULT_HOLDOFF = ((1<<(LGMEM-1))-4),
92
                        HOLDOFFBITS = 20;
93 2 dgisselq
        // The input signals that we wish to record
94
        input                           i_clk, i_ce, i_trigger;
95
        input           [(BUSW-1):0]     i_data;
96
        // The WISHBONE bus for reading and configuring this scope
97
        input                           i_wb_clk, i_wb_cyc, i_wb_stb, i_wb_we;
98
        input                           i_wb_addr; // One address line only
99
        input           [(BUSW-1):0]     i_wb_data;
100
        output  wire                    o_wb_ack, o_wb_stall;
101
        output  reg     [(BUSW-1):0]     o_wb_data;
102
        // And, finally, for a final flair --- offer to interrupt the CPU after
103
        // our trigger has gone off.  This line is equivalent to the scope 
104
        // being stopped.  It is not maskable here.
105
        output  wire                    o_interrupt;
106
 
107
        reg     [(LGMEM-1):0]    raddr;
108
        reg     [(BUSW-1):0]     mem[0:((1<<LGMEM)-1)];
109
 
110
        // Our status/config register
111
        wire            bw_reset_request, bw_manual_trigger,
112
                        bw_disable_trigger, bw_reset_complete;
113
        reg     [22:0]   br_config;
114 46 dgisselq
        wire    [(HOLDOFFBITS-1):0]      bw_holdoff;
115
        initial br_config = DEFAULT_HOLDOFF;
116 2 dgisselq
        always @(posedge i_wb_clk)
117 46 dgisselq
                if ((i_wb_stb)&&(~i_wb_addr))
118 2 dgisselq
                begin
119
                        if (i_wb_we)
120
                                br_config <= { i_wb_data[31],
121
                                        (i_wb_data[27]),
122
                                        i_wb_data[26],
123
                                        i_wb_data[19:0] };
124
                end else if (bw_reset_complete)
125
                        br_config[22] <= 1'b1;
126
        assign  bw_reset_request   = (~br_config[22]);
127
        assign  bw_manual_trigger  = (br_config[21]);
128
        assign  bw_disable_trigger = (br_config[20]);
129 46 dgisselq
        assign  bw_holdoff         = br_config[(HOLDOFFBITS-1):0];
130 2 dgisselq
 
131
        wire    dw_reset, dw_manual_trigger, dw_disable_trigger;
132
        generate
133
        if (SYNCHRONOUS > 0)
134
        begin
135
                assign  dw_reset = bw_reset_request;
136
                assign  dw_manual_trigger = bw_manual_trigger;
137
                assign  dw_disable_trigger = bw_disable_trigger;
138
                assign  bw_reset_complete = bw_reset_request;
139
        end else begin
140
                reg             r_reset_complete;
141 46 dgisselq
                (* ASYNC_REG = "TRUE" *) reg    [2:0]    q_iflags;
142
                reg     [2:0]    r_iflags;
143 2 dgisselq
 
144
                // Resets are synchronous to the bus clock, not the data clock
145
                // so do a clock transfer here
146
                initial q_iflags = 3'b000;
147
                initial r_reset_complete = 1'b0;
148
                always @(posedge i_clk)
149
                begin
150
                        q_iflags <= { bw_reset_request, bw_manual_trigger, bw_disable_trigger };
151
                        r_iflags <= q_iflags;
152
                        r_reset_complete <= (dw_reset);
153
                end
154
 
155
                assign  dw_reset = r_iflags[2];
156
                assign  dw_manual_trigger = r_iflags[1];
157
                assign  dw_disable_trigger = r_iflags[0];
158
 
159 46 dgisselq
                (* ASYNC_REG = "TRUE" *) reg    q_reset_complete;
160
                reg     qq_reset_complete;
161 2 dgisselq
                // Pass an acknowledgement back from the data clock to the bus
162
                // clock that the reset has been accomplished
163
                initial q_reset_complete = 1'b0;
164
                initial qq_reset_complete = 1'b0;
165
                always @(posedge i_wb_clk)
166
                begin
167
                        q_reset_complete  <= r_reset_complete;
168
                        qq_reset_complete <= q_reset_complete;
169
                end
170
 
171
                assign bw_reset_complete = qq_reset_complete;
172
        end endgenerate
173
 
174
        //
175
        // Set up the trigger
176
        //
177
        //
178
        // Write with the i-clk, or input clock.  All outputs read with the
179
        // WISHBONE-clk, or i_wb_clk clock.
180
        reg     dr_triggered, dr_primed;
181
        wire    dw_trigger;
182
        assign  dw_trigger = (dr_primed)&&(
183
                                ((i_trigger)&&(~dw_disable_trigger))
184
                                ||(dr_triggered)
185
                                ||(dw_manual_trigger));
186
        initial dr_triggered = 1'b0;
187
        always @(posedge i_clk)
188
                if (dw_reset)
189
                        dr_triggered <= 1'b0;
190
                else if ((i_ce)&&(dw_trigger))
191
                        dr_triggered <= 1'b1;
192
 
193
        //
194
        // Determine when memory is full and capture is complete
195
        //
196
        // Writes take place on the data clock
197 46 dgisselq
        // The counter is unsigned
198
        (* ASYNC_REG="TRUE" *) reg      [(HOLDOFFBITS-1):0]      counter;
199
        reg     less_than_holdoff;
200
        always @(posedge i_clk)
201
                if (dw_reset)
202
                        less_than_holdoff <= 1'b1;
203
                else if (i_ce)
204
                        less_than_holdoff <= (counter < bw_holdoff);
205
 
206 2 dgisselq
        reg             dr_stopped;
207
        initial dr_stopped = 1'b0;
208 46 dgisselq
        initial counter = 0;
209 2 dgisselq
        always @(posedge i_clk)
210
                if (dw_reset)
211
                        counter <= 0;
212 46 dgisselq
                else if ((i_ce)&&(dr_triggered)&&(~dr_stopped))
213 2 dgisselq
                begin // MUST BE a < and not <=, so that we can keep this w/in
214
                        // 20 bits.  Else we'd need to add a bit to comparison 
215
                        // here.
216 46 dgisselq
                        counter <= counter + 1'b1;
217 2 dgisselq
                end
218 46 dgisselq
        always @(posedge i_clk)
219
                if ((~dr_triggered)||(dw_reset))
220
                        dr_stopped <= 1'b0;
221
                else if (i_ce)
222
                        dr_stopped <= (counter+1'b1 >= bw_holdoff);
223
                else
224
                        dr_stopped <= (counter >= bw_holdoff);
225 2 dgisselq
 
226
        //
227
        //      Actually do our writes to memory.  Record, via 'primed' when
228
        //      the memory is full.
229
        //
230
        //      The 'waddr' address that we are using really crosses two clock
231
        //      domains.  While writing and changing, it's in the data clock
232
        //      domain.  Once stopped, it becomes part of the bus clock domain.
233
        //      The clock transfer on the stopped line handles the clock
234
        //      transfer for these signals.
235
        //
236
        reg     [(LGMEM-1):0]    waddr;
237
        initial waddr = {(LGMEM){1'b0}};
238
        initial dr_primed = 1'b0;
239
        always @(posedge i_clk)
240
                if (dw_reset) // For simulation purposes, supply a valid value
241
                begin
242
                        waddr <= 0; // upon reset.
243
                        dr_primed <= 1'b0;
244 46 dgisselq
                end else if ((i_ce)&&(!dr_stopped))
245 2 dgisselq
                begin
246
                        // mem[waddr] <= i_data;
247
                        waddr <= waddr + {{(LGMEM-1){1'b0}},1'b1};
248
                        dr_primed <= (dr_primed)||(&waddr);
249
                end
250
        always @(posedge i_clk)
251 46 dgisselq
                if ((i_ce)&&(!dr_stopped))
252 2 dgisselq
                        mem[waddr] <= i_data;
253
 
254
        //
255
        // Clock transfer of the status signals
256
        //
257
        wire    bw_stopped, bw_triggered, bw_primed;
258
        generate
259
        if (SYNCHRONOUS > 0)
260
        begin
261
                assign  bw_stopped   = dr_stopped;
262
                assign  bw_triggered = dr_triggered;
263
                assign  bw_primed    = dr_primed;
264
        end else begin
265
                // These aren't a problem, since none of these are strobe
266
                // signals.  They goes from low to high, and then stays high
267
                // for many clocks.  Swapping is thus easy--two flip flops to
268
                // protect against meta-stability and we're done.
269
                //
270 46 dgisselq
                (* ASYNC_REG = "TRUE" *) reg    [2:0]    q_oflags;
271
                reg     [2:0]    r_oflags;
272 2 dgisselq
                initial q_oflags = 3'h0;
273
                initial r_oflags = 3'h0;
274
                always @(posedge i_wb_clk)
275
                        if (bw_reset_request)
276
                        begin
277
                                q_oflags <= 3'h0;
278
                                r_oflags <= 3'h0;
279
                        end else begin
280
                                q_oflags <= { dr_stopped, dr_triggered, dr_primed };
281
                                r_oflags <= q_oflags;
282
                        end
283
 
284
                assign  bw_stopped   = r_oflags[2];
285
                assign  bw_triggered = r_oflags[1];
286
                assign  bw_primed    = r_oflags[0];
287
        end endgenerate
288
 
289
        // Reads use the bus clock
290
        reg     br_wb_ack;
291
        initial br_wb_ack = 1'b0;
292
        wire    bw_cyc_stb;
293 46 dgisselq
        assign  bw_cyc_stb = (i_wb_stb);
294 2 dgisselq
        always @(posedge i_wb_clk)
295
        begin
296
                if ((bw_reset_request)
297
                        ||((bw_cyc_stb)&&(i_wb_addr)&&(i_wb_we)))
298
                        raddr <= 0;
299
                else if ((bw_cyc_stb)&&(i_wb_addr)&&(~i_wb_we)&&(bw_stopped))
300 46 dgisselq
                        raddr <= raddr + 1'b1; // Data read, when stopped
301 2 dgisselq
 
302
                if ((bw_cyc_stb)&&(~i_wb_we))
303
                begin // Read from the bus
304
                        br_wb_ack <= 1'b1;
305
                end else if ((bw_cyc_stb)&&(i_wb_we))
306
                        // We did this write above
307
                        br_wb_ack <= 1'b1;
308
                else // Do nothing if either i_wb_cyc or i_wb_stb are low
309
                        br_wb_ack <= 1'b0;
310
        end
311
 
312
        reg     [31:0]   nxt_mem;
313
        always @(posedge i_wb_clk)
314
                nxt_mem <= mem[raddr+waddr+
315
                        (((bw_cyc_stb)&&(i_wb_addr)&&(~i_wb_we)) ?
316
                                {{(LGMEM-1){1'b0}},1'b1} : { (LGMEM){1'b0}} )];
317
 
318 46 dgisselq
        wire    [19:0]   full_holdoff;
319
        assign full_holdoff[(HOLDOFFBITS-1):0] = bw_holdoff;
320
        generate if (HOLDOFFBITS < 20)
321
                assign full_holdoff[19:(HOLDOFFBITS)] = 0;
322
        endgenerate
323
 
324 2 dgisselq
        wire    [4:0]    bw_lgmem;
325
        assign          bw_lgmem = LGMEM;
326
        always @(posedge i_wb_clk)
327
                if (~i_wb_addr) // Control register read
328
                        o_wb_data <= { bw_reset_request,
329
                                        bw_stopped,
330
                                        bw_triggered,
331
                                        bw_primed,
332
                                        bw_manual_trigger,
333
                                        bw_disable_trigger,
334
                                        (raddr == {(LGMEM){1'b0}}),
335
                                        bw_lgmem,
336 46 dgisselq
                                        full_holdoff  };
337 2 dgisselq
                else if (~bw_stopped) // read, prior to stopping
338
                        o_wb_data <= i_data;
339
                else // if (i_wb_addr) // Read from FIFO memory
340
                        o_wb_data <= nxt_mem; // mem[raddr+waddr];
341
 
342
        assign  o_wb_stall = 1'b0;
343
        assign  o_wb_ack = (i_wb_cyc)&&(br_wb_ack);
344
 
345
        reg     br_level_interrupt;
346
        initial br_level_interrupt = 1'b0;
347
        assign  o_interrupt = (bw_stopped)&&(~bw_disable_trigger)
348
                                        &&(~br_level_interrupt);
349
        always @(posedge i_wb_clk)
350
                if ((bw_reset_complete)||(bw_reset_request))
351
                        br_level_interrupt<= 1'b0;
352
                else
353
                        br_level_interrupt<= (bw_stopped)&&(~bw_disable_trigger);
354
 
355
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.