OpenCores
URL https://opencores.org/ocsvn/sdram_16bit/sdram_16bit/trunk

Subversion Repositories sdram_16bit

[/] [sdram_16bit/] [trunk/] [testbench/] [simulation.svh] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 ultra_embe
`timescale 1ns/1ps
2
 
3
//-----------------------------------------------------------------
4
// assert_task
5
//-----------------------------------------------------------------
6
task automatic assert_task(input v, string file, int line, input string s);
7
begin
8
    if (!v)
9
    begin
10
        $display("ASSERT: %s:%0d - %s", file, line, s);
11
        $finish(1);
12
    end
13
end
14
endtask
15
 
16
//-----------------------------------------------------------------
17
// ASSERT
18
//-----------------------------------------------------------------
19
`define ASSERT(v)    assert_task(v, `__FILE__, `__LINE__, `"v`")
20
 
21
//-----------------------------------------------------------------
22
// ASSERT_ALWAYS
23
//-----------------------------------------------------------------
24
`define ASSERT_ALWAYS(condition) \
25
    generate \
26
        if(1) \
27
        begin \
28
        wire test = condition; \
29
        always @(test)\
30
         `ASSERT(condition); \
31
        end \
32
    endgenerate
33
 
34
//-----------------------------------------------------------------
35
// TB_TIMEOUT
36
//-----------------------------------------------------------------
37
`define TB_TIMEOUT(CLK, RST, VALID, TIMEOUT) \
38
    integer v_timeout_cycles;   \
39
                            \
40
    always @(posedge ``RST or posedge ``CLK)   \
41
    if (``RST)   \
42
        v_timeout_cycles <= 0; \
43
    else  \
44
    begin  \
45
        if (``VALID)  \
46
        begin  \
47
            v_timeout_cycles <= 0; \
48
        end  \
49
        else  \
50
        begin  \
51
            v_timeout_cycles <= v_timeout_cycles + 1; \
52
            `ASSERT(v_timeout_cycles < ``TIMEOUT);  \
53
        end  \
54
    end
55
 
56
 
57
//-----------------------------------------------------------------
58
// CLOCK_GEN
59
//-----------------------------------------------------------------
60
`define CLOCK_GEN(NAME, CYCLE)     \
61
    reg ``NAME;      \
62
    initial \
63
    begin \
64
       ``NAME <= 0; \
65
       forever # (``CYCLE / 2) ``NAME = ~``NAME; \
66
    end
67
 
68
//-----------------------------------------------------------------
69
// RESET_GEN
70
//-----------------------------------------------------------------
71
`define RESET_GEN(NAME, DELAY)     \
72
    reg ``NAME;      \
73
    initial \
74
    begin \
75
       ``NAME <= 1; \
76
       # ``DELAY    \
77
       ``NAME <= 0; \
78
    end
79
 
80
//-----------------------------------------------------------------
81
// TB_VCD
82
//-----------------------------------------------------------------
83
`define TB_VCD(TOP, NAME)     \
84
    initial \
85
    begin \
86
       $dumpfile(``NAME);  \
87
       $dumpvars(0,``TOP); \
88
    end
89
 
90
//-----------------------------------------------------------------
91
// TB_RUN_FOR
92
//-----------------------------------------------------------------
93
`define TB_RUN_FOR(TIME)    initial #``TIME $finish;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.