OpenCores
URL https://opencores.org/ocsvn/softavrcore/softavrcore/trunk

Subversion Repositories softavrcore

[/] [softavrcore/] [trunk/] [synth/] [top-ct256.pcf] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 apal
# iCE40HX8K-CT256 ICE40HX8K-B-EVN
2
 
3
set_io led[7] B5
4
set_io led[6] B4
5
set_io led[5] A2
6
set_io led[4] A1
7
set_io led[3] C5
8
set_io led[2] C4
9
set_io led[1] B3
10
set_io led[0] C3
11
 
12
set_io hwclk  J3
13
 
14
# This is the input for the FPGA top module:
15
set_io ftdi_rx B10      # input
16
# This is the output for the FPGA top module:
17
set_io ftdi_tx B12      # output
18
 
19
set_io pin_scl0 D16
20
set_io pin_sda0 C16
21
 
22
# Another 5 ports connected to the FTDI transceiver:
23
#set_io ftdi_nrts B13   # input
24
#set_io ftdi_ncts A15   # output
25
#set_io ftdi_ndtr A16   # input
26
#set_io ftdi_ndsr B14   # output
27
#set_io ftdi_ndcd B15   # output
28
#set_io ftdi_rx B9      # orange
29
#set_io ftdi_tx A7      # yellow
30
#set_io nss B8
31
#set_io sck A9
32
#set_io miso A10
33
#set_io mosi A11
34
 
35
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.