OpenCores
URL https://opencores.org/ocsvn/softavrcore/softavrcore/trunk

Subversion Repositories softavrcore

[/] [softavrcore/] [trunk/] [synth/] [top.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 apal
`include "avr_core.v"
2
`include "avr_io_out.v"
3
`include "avr_io_uart.v"
4
`include "avr_io_timer.v"
5
`include "main.v"
6
//`include "flash.v"
7
`include "ram.v"
8
 
9
//`include "avr_io_spi.v"
10
 
11
/*****************************************************************************/
12
 
13
module priority_encoder ( input [3:0] irq_lines , output iflag, output reg [1:0] ivect );
14
 
15
//reg [1:0] ivect;
16
 
17
always @(*) begin
18
        if (irq_lines[0])       ivect = 0;
19
        else if (irq_lines[1])  ivect = 1;
20
        else if (irq_lines[2])  ivect = 2;
21
        else if (irq_lines[3])  ivect = 3;
22
        else                    ivect = 0;
23
end
24
 
25
assign  iflag = |irq_lines;
26
 
27
endmodule
28
 
29
/*****************************************************************************/
30
 
31
module top
32
 (      input   hwclk,
33
        output  [7:0] led,
34
        input   ftdi_rx,
35
        output  ftdi_tx,
36
        inout   pin_scl0,
37
        inout   pin_sda0
38
 
39
 );
40
 
41
//assign sseg4 = 13'b1_1111_1111_1111;
42
 
43
wire            clk;
44
 
45
parameter       pmem_width = 10;
46
parameter       dmem_width = 9;
47
 
48
wire                    pmem_ce;
49
wire [pmem_width-1:0]    pmem_a;
50
wire [15:0]              pmem_d;
51
 
52
wire                    dmem_re;
53
wire                    dmem_we;
54
wire [dmem_width-1:0]    dmem_a;
55
wire [7:0]               dmem_di;
56
wire [7:0]               dmem_do;
57
 
58
wire                    io_re;
59
wire                    io_we;
60
wire [5:0]               io_a;
61
wire [7:0]               io_do;
62
 
63
 
64
SB_PLL40_CORE
65
 #(     .FEEDBACK_PATH("SIMPLE"),
66
        .PLLOUT_SELECT("GENCLK"),
67
        .ENABLE_ICEGATE("0"),
68
        .DIVR(4'b0000),
69
        .DIVF(7'b0111111),
70
        .DIVQ(3'b100),
71
        .FILTER_RANGE(3'b001)
72
  )
73
pll
74
 (      .RESETB(1'b1),
75
        .BYPASS(1'b1),
76
        .EXTFEEDBACK(1'b0),
77
        .LATCHINPUTVALUE(1'b0),
78
        .DYNAMICDELAY(8'b00000000),
79
        .REFERENCECLK(hwclk),
80
        .SDI(1'b0),
81
        .SCLK(1'b0),
82
        .PLLOUTGLOBAL(clk)
83
 );
84
 
85
//reg [1:0] clkcnt;
86
//always @(posedge hwclk) clkcnt <= clkcnt + 1;
87
//assign clk = clkcnt[1];
88
//BUFG clkcrt ( .I(clkcnt[1]), .O(clk) );
89
 
90
/*****************************************************************************/
91
 
92
ram      core0_ram ( clk, dmem_re, dmem_we, dmem_a, dmem_di, dmem_do );
93
defparam core0_ram.ram_width = dmem_width;
94
 
95
flash    core0_flash ( clk, pmem_ce,pmem_a, pmem_d );
96
//defparam core0_flash.flash_width = pmem_width;
97
 
98
/*****************************************************************************/
99
 
100
wor [7:0] io_di;
101
 
102
`define TIMER0
103
 
104
`ifdef TIMER0
105
wire timer0_io_select = (io_a[5:2] == 4'b0010);
106
wire timer0_io_re = timer0_io_select & io_re;
107
wire timer0_io_we = timer0_io_select & io_we;
108
wire timer0_irq;
109
 
110
avr_io_timer timer0
111
 (      clk, 1'b0,
112
        timer0_io_re, timer0_io_we, io_a[1:0], io_di, io_do,
113
        timer0_irq
114
 );
115
`else
116
wire timer0_irq = 0;
117
`endif
118
 
119
/* * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * */
120
 
121
`define PORT0
122
 
123
`ifdef PORT0
124
wire port0_io_select = (io_a[5:0] == 6'b000100);
125
wire port0_io_re = (port0_io_select ? io_re : 1'b0);
126
wire port0_io_we = (port0_io_select ? io_we : 1'b0);
127
wire [7:0] port0_out;
128
 
129
avr_io_out port0
130
 (      clk, 1'b0,
131
        port0_io_re, port0_io_we, io_di, io_do,
132
        port0_out
133
 );
134
 
135
assign led = port0_out;
136
`else
137
assign led = 8'b00000000;
138
`endif
139
 
140
/* * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * */
141
 
142
`define UART0
143
 
144
`ifdef UART0
145
wire uart0_io_select = (io_a[5:2] == 4'b0000);
146
wire uart0_io_re = (uart0_io_select ? io_re : 1'b0);
147
wire uart0_io_we = (uart0_io_select ? io_we : 1'b0);
148
wire uart0_txd;
149
wire uart0_rxd;
150
wire [2:0] uart0_irq;
151
 
152
assign ftdi_tx = uart0_txd;
153
assign uart0_rxd = ftdi_rx;
154
 
155
avr_io_uart uart0
156
 (      clk, 1'b0,
157
        uart0_io_re, uart0_io_we, io_a[1:0], io_di, io_do,
158
        uart0_txd, uart0_rxd,
159
        uart0_irq
160
 );
161
`else
162
assign ftdi_tx = ftdi_rx;
163
wire [2:0] uart0_irq;
164
assign uart0_irq = 3'b000;
165
`endif
166
 
167
/* * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * */
168
 
169
// `define SPI0
170
 
171
`ifdef SPI0
172
wire spi0_io_select = (io_a[5:2] == 4'b0100);
173
wire spi0_io_re = (spi0_io_select ? io_re : 1'b0);
174
wire spi0_io_we = (spi0_io_select ? io_we : 1'b0);
175
wire spi0_enable;
176
wire spi0_master;
177
wire spi0_master_out;
178
wire spi0_master_in;
179
wire spi0_master_clk;
180
wire spi0_master_select;
181
wire spi0_slave_out;
182
 
183
`define ASYNC_SPI_SAMPLING
184
 
185
`ifdef ASYNC_SPI_SAMPLING
186
assign mosi = spi0_master_out;
187
assign spi0_master_in = miso;
188
assign sck = spi0_master_clk;
189
assign nss = ~spi0_master_select;
190
`else
191
reg     mosi, spi0_master_in, sck, nss;
192
always @(posedge clk) begin
193
        mosi <= spi0_master_out;
194
        spi0_master_in <= miso;
195
        sck <= spi0_master_clk;
196
        nss <= ~spi0_master_select;
197
end
198
`endif
199
 
200
avr_io_spi spi0
201
 (      clk, 1'b0,
202
        spi0_io_re, spi0_io_we, io_a[1:0], io_di, io_do,
203
        spi0_enable, spi0_master,
204
        spi0_master_clk, spi0_master_out, spi0_master_in, spi0_master_select,
205
        1'b0, 1'b0, spi0_slave_out, 1'b0
206
 );
207
`else
208
 
209
//assign sck = 1'b0;
210
//assign mosi = 1'b0;
211
//assign nss = 1'b1;
212
 
213
`endif
214
 
215
 
216
/*****************************************************************************/
217
 
218
wire iflag;
219
wire [1:0] ivect;
220
 
221
priority_encoder irq0 ( { uart0_irq[2], 1'b0, timer0_irq, 1'b0 }, iflag, ivect );
222
 
223
avr_core core0
224
 (      clk, 1'b0,
225
        pmem_ce, pmem_a, pmem_d,
226
        dmem_re, dmem_we, dmem_a, dmem_di, dmem_do,
227
        io_re, io_we, io_a, io_di, io_do,
228
        iflag, ivect
229
 );
230
 
231
defparam core0.pmem_width = pmem_width;
232
defparam core0.dmem_width = dmem_width;
233
defparam core0.interrupt  = 1;
234
defparam core0.intr_width = 2;
235
 
236
endmodule
237
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.