OpenCores
URL https://opencores.org/ocsvn/spacewire_light/spacewire_light/trunk

Subversion Repositories spacewire_light

[/] [spacewire_light/] [trunk/] [syn/] [streamtest_gr-xc3s1500/] [streamtest_top.vhd] - Blame information for rev 3

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 jorisvr
--
2
--  Test of spwstream on Pender GR-XC3S-1500 board.
3
--  60 MHz system clock; 200 MHz receive clock and transmit clock.
4
--
5
--  LED 0 = link run
6
--  LED 1 = link error (sticky until clear button)
7
--  LED 2 = gotdata
8
--  LED 3 = data/timecode error (sticky until reset)
9
--
10
--  Button S2 = reset
11
--  Button S3 = clear LED 1
12
--
13
--  Switch 0 = link start
14
--  Switch 1 = link disable
15
--  Switch 2 = send data
16
--  Switch 3 = send time codes
17
--  Switch 4-7 = bits 0-3 of tx bit rate scale factor
18
--
19
--  SpaceWire signals on expansion connector J12:
20
--    Data In    pos,neg  =  m1,m2  =  pin 3,2
21
--    Strobe In  pos,neg  =  m3,m4  =  pin 6,5
22
--    Data Out   pos,neg  =  n1,n2  =  pin 9,8
23
--    Strobe Out pos,neg  =  n3,n4  =  pin 12,11
24
--
25
--  To get proper LVDS signals from connector J12, the voltage on I/O bank 6
26
--  must be set to 2.5V. This is the default on GR-XC3S-1500-rev2, but on
27
--  GR-XC3S-1500-rev1 a change is required on the board (described in
28
--  the board manual).
29
--
30
--  To terminate the incoming LVDS signals, 100 Ohm termination resistors
31
--  must be installed on the board in positions R120 and R121.
32
--
33
--  The SpaceWire port should be looped back to itself, either directly
34
--  or via an other SpaceWire device. For a direct loopback, place 4 wires
35
--  from the output pins to the corresponding input pins. For an indirect
36
--  loopback, connect the SpaceWire signals to an additional SpaceWire device
37
--  which is programmed to echo everything it receives (characters, packets,
38
--  time codes). See the datasheet for a wiring diagram from J12 to MDM9.
39
--
40
 
41
library ieee;
42
use ieee.std_logic_1164.all, ieee.numeric_std.all;
43
library unisim;
44
use unisim.vcomponents.all;
45
use work.spwpkg.all;
46
 
47
entity streamtest_top is
48
 
49
    port (
50
        clk:        in  std_logic;
51
        btn_reset:  in  std_logic;
52
        btn_clear:  in  std_logic;
53
        switch:     in  std_logic_vector(7 downto 0);
54
        led:        out std_logic_vector(3 downto 0);
55
        spw_rxdp:   in  std_logic;
56
        spw_rxdn:   in  std_logic;
57
        spw_rxsp:   in  std_logic;
58
        spw_rxsn:   in  std_logic;
59
        spw_txdp:   out std_logic;
60
        spw_txdn:   out std_logic;
61
        spw_txsp:   out std_logic;
62
        spw_txsn:   out std_logic );
63
 
64
end entity streamtest_top;
65
 
66
architecture streamtest_top_arch of streamtest_top is
67
 
68
    -- Clock generation.
69
    signal boardclk:        std_logic;
70
    signal sysclk:          std_logic;
71
    signal fastclk:         std_logic;
72
 
73
    -- Synchronize buttons
74
    signal s_resetbtn:      std_logic := '0';
75
    signal s_clearbtn:      std_logic := '0';
76
 
77
    -- Sticky LED
78
    signal s_linkerrorled:  std_logic := '0';
79
 
80
    -- Interface signals.
81
    signal s_rst:           std_logic := '1';
82
    signal s_linkstart:     std_logic := '0';
83
    signal s_autostart:     std_logic := '0';
84
    signal s_linkdisable:   std_logic := '0';
85
    signal s_senddata:      std_logic := '0';
86
    signal s_sendtick:      std_logic := '0';
87
    signal s_txdivcnt:      std_logic_vector(7 downto 0) := "00000000";
88
    signal s_linkstarted:   std_logic;
89
    signal s_linkconnecting: std_logic;
90
    signal s_linkrun:       std_logic;
91
    signal s_linkerror:     std_logic;
92
    signal s_gotdata:       std_logic;
93
    signal s_dataerror:     std_logic;
94
    signal s_tickerror:     std_logic;
95
    signal s_spwdi:         std_logic;
96
    signal s_spwsi:         std_logic;
97
    signal s_spwdo:         std_logic;
98
    signal s_spwso:         std_logic;
99
 
100
    -- Make clock nets visible to UCF file.
101
    attribute KEEP: string;
102
    attribute KEEP of sysclk: signal is "SOFT";
103
    attribute KEEP of fastclk: signal is "SOFT";
104
 
105
    component streamtest is
106
        generic (
107
            sysfreq:    real;
108
            txclkfreq:  real;
109
            tickdiv:    integer range 12 to 24 := 20;
110
            rximpl:     spw_implementation_type := impl_generic;
111
            rxchunk:    integer range 1 to 4 := 1;
112
            tximpl:     spw_implementation_type := impl_generic;
113
            rxfifosize_bits: integer range 6 to 14 := 11;
114
            txfifosize_bits: integer range 2 to 14 := 11 );
115
        port (
116
            clk:        in  std_logic;
117
            rxclk:      in  std_logic;
118
            txclk:      in  std_logic;
119
            rst:        in  std_logic;
120
            linkstart:  in  std_logic;
121
            autostart:  in  std_logic;
122
            linkdisable: in std_logic;
123
            senddata:   in  std_logic;
124
            sendtick:   in  std_logic;
125
            txdivcnt:   in  std_logic_vector(7 downto 0);
126
            linkstarted: out std_logic;
127
            linkconnecting: out std_logic;
128
            linkrun:    out std_logic;
129
            linkerror:  out std_logic;
130
            gotdata:    out std_logic;
131
            dataerror:  out std_logic;
132
            tickerror:  out std_logic;
133
            spw_di:     in  std_logic;
134
            spw_si:     in  std_logic;
135
            spw_do:     out std_logic;
136
            spw_so:     out std_logic );
137
    end component;
138
 
139
begin
140
 
141
    -- Buffer incoming clock.
142
    bufg0: BUFG port map ( I => clk, O => boardclk );
143
 
144
    -- Generate 60 MHz system clock.
145
    dcm0: DCM
146
        generic map (
147
            CLKFX_DIVIDE        => 5,
148
            CLKFX_MULTIPLY      => 6,
149
            CLK_FEEDBACK        => "NONE",
150
            CLKIN_DIVIDE_BY_2   => false,
151
            CLKIN_PERIOD        => 20.0,
152
            CLKOUT_PHASE_SHIFT  => "NONE",
153
            DESKEW_ADJUST       => "SYSTEM_SYNCHRONOUS",
154
            DFS_FREQUENCY_MODE  => "LOW",
155
            DUTY_CYCLE_CORRECTION => true,
156
            STARTUP_WAIT        => true )
157
        port map (
158
            CLKIN       => boardclk,
159
            RST         => '0',
160
            CLKFX       => sysclk );
161
 
162
    -- Generate 200 MHz fast clock.
163
    dcm1: DCM
164
        generic map (
165
            CLKFX_DIVIDE        => 1,
166
            CLKFX_MULTIPLY      => 4,
167
            CLK_FEEDBACK        => "NONE",
168
            CLKIN_DIVIDE_BY_2   => false,
169
            CLKIN_PERIOD        => 20.0,
170
            CLKOUT_PHASE_SHIFT  => "NONE",
171
            DESKEW_ADJUST       => "SYSTEM_SYNCHRONOUS",
172
            DFS_FREQUENCY_MODE  => "LOW",
173
            DUTY_CYCLE_CORRECTION => true,
174
            STARTUP_WAIT        => true )
175
        port map (
176
            CLKIN       => boardclk,
177
            RST         => '0',
178
            CLKFX       => fastclk );
179
 
180
    -- Streamtest instance
181
    streamtest_inst: streamtest
182
        generic map (
183
            sysfreq     => 60.0e6,
184
            txclkfreq   => 200.0e6,
185
            tickdiv     => 22,
186
            rximpl      => impl_fast,
187
            rxchunk     => 4,
188
            tximpl      => impl_fast,
189
            rxfifosize_bits => 11,
190
            txfifosize_bits => 10 )
191
        port map (
192
            clk         => sysclk,
193
            rxclk       => fastclk,
194
            txclk       => fastclk,
195
            rst         => s_rst,
196
            linkstart   => s_linkstart,
197
            autostart   => s_autostart,
198
            linkdisable => s_linkdisable,
199
            senddata    => s_senddata,
200
            sendtick    => s_sendtick,
201
            txdivcnt    => s_txdivcnt,
202
            linkstarted => s_linkstarted,
203
            linkconnecting => s_linkconnecting,
204
            linkrun     => s_linkrun,
205
            linkerror   => s_linkerror,
206
            gotdata     => s_gotdata,
207
            dataerror   => s_dataerror,
208
            tickerror   => s_tickerror,
209
            spw_di      => s_spwdi,
210
            spw_si      => s_spwsi,
211
            spw_do      => s_spwdo,
212
            spw_so      => s_spwso );
213
 
214
    -- LVDS buffers
215
    spwdi_pad: IBUFDS
216
        generic map ( IOSTANDARD => "LVDS_25" )
217
        port map ( O => s_spwdi, I => spw_rxdp, IB => spw_rxdn );
218
    spwsi_pad: IBUFDS
219
        generic map ( IOSTANDARD => "LVDS_25" )
220
        port map ( O => s_spwsi, I => spw_rxsp, IB => spw_rxsn );
221
    spwdo_pad: OBUFDS
222
        generic map ( IOSTANDARD => "LVDS_25" )
223
        port map ( O => spw_txdp, OB => spw_txdn, I => s_spwdo );
224
    spwso_pad: OBUFDS
225
        generic map ( IOSTANDARD => "LVDS_25" )
226
        port map ( O => spw_txsp, OB => spw_txsn, I => s_spwso );
227
 
228
    process (sysclk) is
229
    begin
230
        if rising_edge(sysclk) then
231
 
232
            -- Synchronize buttons
233
            s_resetbtn  <= btn_reset;
234
            s_rst       <= s_resetbtn;
235
            s_clearbtn  <= btn_clear;
236
 
237
            -- Synchronize switch settings
238
            s_autostart <= '0';
239
            s_linkstart <= switch(0);
240
            s_linkdisable <= switch(1);
241
            s_senddata  <= switch(2);
242
            s_sendtick  <= switch(3);
243
            s_txdivcnt(3 downto 0) <= switch(7 downto 4);
244
 
245
            -- Sticky link error LED
246
            s_linkerrorled <= (s_linkerrorled or s_linkerror) and
247
                              (not s_clearbtn) and
248
                              (not s_resetbtn);
249
 
250
            -- Drive LEDs (inverted logic)
251
            led(0)  <= not s_linkrun;
252
            led(1)  <= not s_linkerrorled;
253
            led(2)  <= not s_gotdata;
254
            led(3)  <= not (s_dataerror or s_tickerror);
255
 
256
        end if;
257
    end process;
258
 
259
end architecture streamtest_top_arch;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.