OpenCores
URL https://opencores.org/ocsvn/special_functions_unit/special_functions_unit/trunk

Subversion Repositories special_functions_unit

[/] [special_functions_unit/] [Open_source_SFU/] [cordic_vhdl/] [parts/] [mux2_1.vhd] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 divadnauj
library IEEE;
2
use ieee.std_logic_1164.all;
3
 
4
entity mux2_1 is
5
port(
6
         x,y,s: in std_logic;
7
         z: out std_logic
8
        );
9
end mux2_1;
10
 
11
architecture au of mux2_1 is
12
begin
13
z <= x when s='0' else y when s='1';  -- si S=1 salida =y, si S=0 salida =X
14
end au;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.