OpenCores
URL https://opencores.org/ocsvn/special_functions_unit/special_functions_unit/trunk

Subversion Repositories special_functions_unit

[/] [special_functions_unit/] [Open_source_SFU/] [log2_vhdl/] [parts/] [FA.vhd] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 divadnauj
-- FULL ADDER
2
-- universidad Pedagogica y Tecnologica de Colombia.
3
-- Facultad de ingenieria.
4
-- Escuela de ingenieria Electronica - extension Tunja.
5
-- Semillero de investigacion DDA y PDI
6
-- Autor: Cristhian Fernando Moreno Manrique
7
 
8
 
9
-------------------------------------------------------
10
 
11
LIBRARY ieee;
12
USE ieee.std_logic_1164.all;
13
-------------------------------------------------------
14
 
15
 
16
entity FA is
17
 
18
        port(
19
                i_term1 :in std_logic;
20
                i_term2 :in std_logic;
21
                i_cin           :in std_logic;
22
                o_sum           :out std_logic;
23
                o_cout  :out std_logic
24
        );
25
 
26
end entity;
27
-------------------------------------------------------                         
28
 
29
architecture main of FA is
30
        signal s_xor: std_logic;
31
begin
32
        s_xor           <= i_term1 xor i_term2;
33
        o_cout  <= (i_term1 and i_term2) or (i_cin and s_xor);
34
        o_sum   <=  s_xor xor i_cin;
35
 
36
----    option 2:
37
--      o_cout  <= (i_term1 and i_term2) or (i_term1 and i_cin) or (i_term2 and i_cin);
38
--      o_sum   <= i_term1 xor i_term2 xor i_cin;
39
 
40
end main;
41
-------------------------------------------------------

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.