OpenCores
URL https://opencores.org/ocsvn/special_functions_unit/special_functions_unit/trunk

Subversion Repositories special_functions_unit

[/] [special_functions_unit/] [Open_source_SFU/] [log2_vhdl/] [parts/] [comparator.vhd] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 divadnauj
-- Nombre de archivo    : comparator.vhd
2
--      Titulo                          : comparador de magnitud configurable
3
-----------------------------------------------------------------------------   
4
-- Descripcion                  : compara dos datos de entrada segun el modo seleccionado
5
--
6
--      WIDE                            : numero de bits de los numeros a comparar
7
--              MODO                            : 2 -> i_data1 < i_data2
8
--                                                        1 -> i_data1 > i_data2
9
--                                                        0 -> i_data1 = i_data2
10
--
11
--      i_term1                 : Numero 1
12
--      i_term2                 : Numero 2
13
--      o_result                        : Resultado
14
--
15
-----------------------------------------------------------------------------   
16
-- Universidad Pedagogica y Tecnologica de Colombia.
17
-- Facultad de ingenieria.
18
-- Escuela de ingenieria Electronica - extension Tunja.
19
-- 
20
-- Autor: Cristhian Fernando Moreno Manrique
21
-- Marzo 2020
22
-----------------------------------------------------------------------------   
23
library ieee;
24
        use ieee.std_logic_1164.all;
25
 
26
 
27
entity comparator is
28
 
29
        generic (WIDE           :               natural:=       8;
30
                                MODO            :               natural:=       0);
31
        port      (i_data1      : in    std_logic_vector(WIDE-1 downto 0);
32
                                i_data2 : in    std_logic_vector(WIDE-1 downto 0);
33
                                o_result        : out std_logic);
34
end entity;
35
-----------------------------------------------------------------------------   
36
 
37
architecture main of comparator is
38
 
39
begin
40
 
41
        EQ: if (MODO = 0) generate
42
                o_result <= '1' when (i_data1 = i_data2) else '0';
43
        end generate;
44
 
45
        HG: if (MODO = 1) generate
46
                o_result <= '1' when (i_data1 > i_data2) else '0';
47
        end generate;
48
 
49
        LW: if (MODO > 1) generate
50
                o_result <= '1' when (i_data1 < i_data2) else '0';
51
        end generate;
52
 
53
end main;
54
-----------------------------------------------------------------------------   

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.