OpenCores
URL https://opencores.org/ocsvn/special_functions_unit/special_functions_unit/trunk

Subversion Repositories special_functions_unit

[/] [special_functions_unit/] [Open_source_SFU/] [log2_vhdl/] [parts/] [ones_complement.vhd] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 divadnauj
-- Nombre de archivo    : ones_complement.vhd
2
--      Titulo                          : operacion complemento a uno
3
-----------------------------------------------------------------------------   
4
-- Descripcion                  : realiza la operacion de complemento a uno al dato de 
5
--                                                        entrada solo si i_en es habilitado.
6
--
7
--      WIDE                            : ancho del dato
8
--
9
--      i_data                  : dato a operar
10
--      i_en                            : 1-> habilita operacion
11
--    o_data                    : resultado
12
--      
13
-- Notas:
14
--              si i_en = 0, o_data = i_data
15
--
16
-----------------------------------------------------------------------------   
17
-- Universidad Pedagogica y Tecnologica de Colombia.
18
-- Facultad de ingenieria.
19
-- Escuela de ingenieria Electronica - extension Tunja.
20
-- 
21
-- Autor: Cristhian Fernando Moreno Manrique
22
-- Marzo 2020
23
-----------------------------------------------------------------------------   
24
library ieee;
25
        use ieee.std_logic_1164.all;
26
 
27
 
28
entity ones_complement is
29
 
30
        generic (WIDE           : positive := 7);
31
                 port(i_data    : in std_logic_vector (WIDE-1 downto 0);
32
                                i_en            : in std_logic;
33
                                o_data  : out std_logic_vector (WIDE-1 downto 0));
34
end ones_complement;
35
-----------------------------------------------------------------------------   
36
 
37
architecture main of ones_complement is
38
 
39
        signal w_C1 :std_logic_vector(WIDE-1 downto 0);
40
 
41
begin
42
 
43
        a:for i in 0 to WIDE-1 generate
44
                        w_C1(i)         <= i_data(i) xor i_en;
45
        end generate;
46
 
47
  o_data <= std_logic_vector(w_C1);
48
 
49
end main;
50
-----------------------------------------------------------------------------   

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.