OpenCores
URL https://opencores.org/ocsvn/spi_master_slave/spi_master_slave/trunk

Subversion Repositories spi_master_slave

[/] [spi_master_slave/] [trunk/] [syn/] [spi_master_atlys_top_map.map] - Blame information for rev 22

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 20 jdoin
Release 13.1 Map O.40d (nt)
2
Xilinx Map Application Log File for Design 'spi_master_atlys_top'
3
 
4
Design Information
5
------------------
6
Command Line   : map -intstyle ise -p xc6slx45-csg324-2 -w -logic_opt off -ol
7
high -xe n -t 1 -xt 0 -register_duplication off -r 4 -global_opt area
8
-equivalent_register_removal on -mt 2 -detail -ir off -pr off -lc area -power
9
off -o spi_master_atlys_top_map.ncd spi_master_atlys_top.ngd
10
spi_master_atlys_top.pcf
11
Target Device  : xc6slx45
12
Target Package : csg324
13
Target Speed   : -2
14
Mapper Version : spartan6 -- $Revision: 1.55 $
15 22 jdoin
Mapped Date    : Mon Aug 29 00:08:18 2011
16 20 jdoin
 
17
Running global optimization...
18
Mapping design into LUTs...
19
Running directed packing...
20
Running delay-based LUT packing...
21
Updating timing models...
22
INFO:Map:215 - The Interim Design Summary has been generated in the MAP Report
23
   (.mrp).
24
Running timing-driven placement...
25 22 jdoin
Total REAL time at the beginning of Placer: 9 secs
26 20 jdoin
Total CPU  time at the beginning of Placer: 9 secs
27
 
28
Phase 1.1  Initial Placement Analysis
29 22 jdoin
Phase 1.1  Initial Placement Analysis (Checksum:7388cd6e) REAL time: 10 secs
30 20 jdoin
 
31
Phase 2.7  Design Feasibility Check
32 22 jdoin
INFO:Place:834 - Only a subset of IOs are locked. Out of 63 IOs, 47 are locked
33
   and 16 are not locked. If you would like to print the names of these IOs,
34 20 jdoin
   please set the environment variable XIL_PAR_DESIGN_CHECK_VERBOSE to 1.
35 22 jdoin
Phase 2.7  Design Feasibility Check (Checksum:7388cd6e) REAL time: 10 secs
36 20 jdoin
 
37
Phase 3.31  Local Placement Optimization
38 22 jdoin
Phase 3.31  Local Placement Optimization (Checksum:7388cd6e) REAL time: 10 secs
39 20 jdoin
 
40
Phase 4.2  Initial Placement for Architecture Specific Features
41
...
42
Phase 4.2  Initial Placement for Architecture Specific Features
43 22 jdoin
(Checksum:e9015cfe) REAL time: 14 secs
44 20 jdoin
 
45
Phase 5.36  Local Placement Optimization
46 22 jdoin
Phase 5.36  Local Placement Optimization (Checksum:e9015cfe) REAL time: 14 secs
47 20 jdoin
 
48
Phase 6.30  Global Clock Region Assignment
49 22 jdoin
Phase 6.30  Global Clock Region Assignment (Checksum:e9015cfe) REAL time: 14 secs
50 20 jdoin
 
51
Phase 7.3  Local Placement Optimization
52
...
53 22 jdoin
Phase 7.3  Local Placement Optimization (Checksum:fcc976fb) REAL time: 15 secs
54 20 jdoin
 
55
Phase 8.5  Local Placement Optimization
56 22 jdoin
Phase 8.5  Local Placement Optimization (Checksum:fcc976fb) REAL time: 15 secs
57 20 jdoin
 
58
Phase 9.8  Global Placement
59 22 jdoin
..
60
..
61
Phase 9.8  Global Placement (Checksum:4a08930d) REAL time: 15 secs
62 20 jdoin
 
63
Phase 10.5  Local Placement Optimization
64 22 jdoin
Phase 10.5  Local Placement Optimization (Checksum:4a08930d) REAL time: 15 secs
65 20 jdoin
 
66
Phase 11.18  Placement Optimization
67 22 jdoin
Phase 11.18  Placement Optimization (Checksum:1a1797e0) REAL time: 16 secs
68 20 jdoin
 
69
Phase 12.5  Local Placement Optimization
70 22 jdoin
Phase 12.5  Local Placement Optimization (Checksum:1a1797e0) REAL time: 16 secs
71 20 jdoin
 
72
Phase 13.34  Placement Validation
73 22 jdoin
Phase 13.34  Placement Validation (Checksum:bc560c6c) REAL time: 16 secs
74 20 jdoin
 
75 22 jdoin
Total REAL time to Placer completion: 16 secs
76 20 jdoin
Total CPU  time to Placer completion: 16 secs
77
Running post-placement packing...
78
Writing output files...
79
 
80
Design Summary
81
--------------
82
 
83
Design Summary:
84
Number of errors:      0
85
Number of warnings:    0
86
Slice Logic Utilization:
87 22 jdoin
  Number of Slice Registers:                   224 out of  54,576    1%
88
    Number used as Flip Flops:                 224
89 20 jdoin
    Number used as Latches:                      0
90
    Number used as Latch-thrus:                  0
91
    Number used as AND/OR logics:                0
92 22 jdoin
  Number of Slice LUTs:                        177 out of  27,288    1%
93
    Number used as logic:                      167 out of  27,288    1%
94
      Number using O6 output only:             112
95
      Number using O5 output only:              28
96
      Number using O5 and O6:                   27
97 20 jdoin
      Number used as ROM:                        0
98
    Number used as Memory:                       4 out of   6,408    1%
99
      Number used as Dual Port RAM:              0
100
      Number used as Single Port RAM:            0
101
      Number used as Shift Register:             4
102
        Number using O6 output only:             4
103
        Number using O5 output only:             0
104
        Number using O5 and O6:                  0
105 22 jdoin
    Number used exclusively as route-thrus:      6
106
      Number with same-slice register load:      4
107 20 jdoin
      Number with same-slice carry load:         2
108
      Number with other load:                    0
109
 
110
Slice Logic Distribution:
111 22 jdoin
  Number of occupied Slices:                   102 out of   6,822    1%
112
  Number of LUT Flip Flop pairs used:          272
113
    Number with an unused Flip Flop:            64 out of     272   23%
114
    Number with an unused LUT:                  95 out of     272   34%
115
    Number of fully used LUT-FF pairs:         113 out of     272   41%
116
    Number of unique control sets:              26
117 20 jdoin
    Number of slice register sites lost
118 22 jdoin
      to control set restrictions:              68 out of  54,576    1%
119 20 jdoin
 
120
  A LUT Flip Flop pair for this architecture represents one LUT paired with
121
  one Flip Flop within a slice.  A control set is a unique combination of
122
  clock, reset, set, and enable signals for a registered element.
123
  The Slice Logic Distribution report is not meaningful if the design is
124
  over-mapped for a non-slice resource or if Placement fails.
125
 
126
IO Utilization:
127
  Number of bonded IOBs:                        63 out of     218   28%
128 22 jdoin
    Number of LOCed IOBs:                       47 out of      63   74%
129 20 jdoin
 
130
Specific Feature Utilization:
131
  Number of RAMB16BWERs:                         0 out of     116    0%
132
  Number of RAMB8BWERs:                          0 out of     232    0%
133
  Number of BUFIO2/BUFIO2_2CLKs:                 0 out of      32    0%
134
  Number of BUFIO2FB/BUFIO2FB_2CLKs:             0 out of      32    0%
135
  Number of BUFG/BUFGMUXs:                       2 out of      16   12%
136
    Number used as BUFGs:                        2
137
    Number used as BUFGMUX:                      0
138
  Number of DCM/DCM_CLKGENs:                     0 out of       8    0%
139
  Number of ILOGIC2/ISERDES2s:                   0 out of     376    0%
140
  Number of IODELAY2/IODRP2/IODRP2_MCBs:         0 out of     376    0%
141
  Number of OLOGIC2/OSERDES2s:                   0 out of     376    0%
142
  Number of BSCANs:                              0 out of       4    0%
143
  Number of BUFHs:                               0 out of     256    0%
144
  Number of BUFPLLs:                             0 out of       8    0%
145
  Number of BUFPLL_MCBs:                         0 out of       4    0%
146
  Number of DSP48A1s:                            0 out of      58    0%
147
  Number of ICAPs:                               0 out of       1    0%
148
  Number of MCBs:                                0 out of       2    0%
149
  Number of PCILOGICSEs:                         0 out of       2    0%
150
  Number of PLL_ADVs:                            0 out of       4    0%
151
  Number of PMVs:                                0 out of       1    0%
152
  Number of STARTUPs:                            0 out of       1    0%
153
  Number of SUSPEND_SYNCs:                       0 out of       1    0%
154
 
155 22 jdoin
Average Fanout of Non-Clock Nets:                3.18
156 20 jdoin
 
157 22 jdoin
Peak Memory Usage:  298 MB
158
Total REAL time to MAP completion:  17 secs
159 20 jdoin
Total CPU time to MAP completion (all processors):   17 secs
160
 
161
Mapping completed.
162
See MAP report file "spi_master_atlys_top_map.mrp" for details.

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.