OpenCores
URL https://opencores.org/ocsvn/udp_ip_stack/udp_ip_stack/trunk

Subversion Repositories udp_ip_stack

[/] [udp_ip_stack/] [trunk/] [contrib/] [from_tim/] [udp_ip_stack/] [tags/] [v1.3/] [rtl/] [vhdl/] [arp_types.vhd] - Blame information for rev 35

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 35 pjf
--
2
--      Package File Template
3
--
4
--      Purpose: This package defines supplemental types, subtypes, 
5
--               constants, and functions 
6
--
7
--   To use any of the example code shown below, uncomment the lines and modify as necessary
8
--
9
 
10
library IEEE;
11
use IEEE.STD_LOGIC_1164.all;
12
 
13
package arp_types is
14
 
15
        type arp_req_req_type is
16
                record
17
                                lookup_req      : std_logic;                                                                    -- set high when wanting mac adr for the requested IP
18
                                ip                              : std_logic_vector (31 downto 0);
19
                end record;
20
 
21
        type arp_req_rslt_type is
22
                record
23
                                got_mac         : std_logic;                                                                    -- indicates that we got the mac
24
                                mac                     : std_logic_vector (47 downto 0);
25
                                got_err         : std_logic;                                                                    -- indicates that we got an error (prob a timeout)
26
                end record;
27
 
28
        type arp_control_type is
29
                record
30
                                clear_cache     : std_logic;
31
                end record;
32
 
33
end arp_types;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.