OpenCores
URL https://opencores.org/ocsvn/udp_ip_stack/udp_ip_stack/trunk

Subversion Repositories udp_ip_stack

[/] [udp_ip_stack/] [trunk/] [contrib/] [from_tim/] [udp_ip_stack/] [tags/] [v1.3/] [rtl/] [vhdl/] [ml605/] [IP_complete.vhd] - Blame information for rev 35

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 35 pjf
----------------------------------------------------------------------------------
2
-- Company: 
3
-- Engineer:            Peter Fall
4
-- 
5
-- Create Date:    20:25:56 06/03/2011 
6
-- Design Name: 
7
-- Module Name:    IP_complete - Behavioral 
8
-- Project Name: 
9
-- Target Devices: 
10
-- Tool versions: 
11
-- Description: Implements complete IP stack with ARP and MAC
12
--
13
-- Dependencies: 
14
--
15
-- Revision: 
16
-- Revision 0.01 - File Created
17
-- Additional Comments: 
18
--
19
----------------------------------------------------------------------------------
20
LIBRARY ieee;
21
USE ieee.std_logic_1164.ALL;
22
use IEEE.NUMERIC_STD.ALL;
23
use work.axi.all;
24
use work.ipv4_types.all;
25
use work.arp_types.all;
26
 
27
entity IP_complete is
28
         generic (
29
                        CLOCK_FREQ                      : integer := 125000000;                                                 -- freq of data_in_clk -- needed to timout cntr
30
                        ARP_TIMEOUT                     : integer := 60                                                                 -- ARP response timeout (s)
31
                        );
32
    Port (
33
                        -- IP Layer signals
34
                        ip_tx_start                             : in std_logic;
35
                        ip_tx                                           : in ipv4_tx_type;                                                              -- IP tx cxns
36
                        ip_tx_result                    : out std_logic_vector (1 downto 0);             -- tx status (changes during transmission)
37
                        ip_tx_data_out_ready    : out std_logic;                                                                        -- indicates IP TX is ready to take data
38
                        ip_rx_start                             : out std_logic;                                                                        -- indicates receipt of ip frame.
39
                        ip_rx                                           : out ipv4_rx_type;
40
                        -- system signals
41
                        clk_in_p             : in  std_logic;                                           -- 200MHz clock input from board
42
                        clk_in_n             : in  std_logic;
43
                        clk_out                                 : out std_logic;
44
                        reset                                   : in  STD_LOGIC;
45
                        our_ip_address          : in STD_LOGIC_VECTOR (31 downto 0);
46
                        our_mac_address                 : in std_logic_vector (47 downto 0);
47
                        control                                 : in ip_control_type;
48
                        -- status signals
49
                        arp_pkt_count                   : out STD_LOGIC_VECTOR(7 downto 0);                      -- count of arp pkts received
50
                        ip_pkt_count                    : out STD_LOGIC_VECTOR(7 downto 0);                      -- number of IP pkts received for us
51
                        -- GMII Interface
52
                        phy_resetn           : out std_logic;
53
                        gmii_txd             : out std_logic_vector(7 downto 0);
54
                        gmii_tx_en           : out std_logic;
55
                        gmii_tx_er           : out std_logic;
56
                        gmii_tx_clk          : out std_logic;
57
                        gmii_rxd             : in  std_logic_vector(7 downto 0);
58
                        gmii_rx_dv           : in  std_logic;
59
                        gmii_rx_er           : in  std_logic;
60
                        gmii_rx_clk          : in  std_logic;
61
                        gmii_col             : in  std_logic;
62
                        gmii_crs             : in  std_logic;
63
                        mii_tx_clk           : in  std_logic
64
                        );
65
end IP_complete;
66
 
67
architecture structural of IP_complete is
68
 
69
  ------------------------------------------------------------------------------
70
  -- Component Declaration for the IP layer
71
  ------------------------------------------------------------------------------
72
 
73
    COMPONENT IP_complete_nomac
74
         generic (
75
                        CLOCK_FREQ                      : integer := 125000000;                                                 -- freq of data_in_clk -- needed to timout cntr
76
                        ARP_TIMEOUT                     : integer := 60                                                                 -- ARP response timeout (s)
77
                        );
78
    Port (
79
                        -- IP Layer signals
80
                        ip_tx_start                             : in std_logic;
81
                        ip_tx                                           : in ipv4_tx_type;                                                              -- IP tx cxns
82
                        ip_tx_result                    : out std_logic_vector (1 downto 0);             -- tx status (changes during transmission)
83
                        ip_tx_data_out_ready    : out std_logic;                                                                        -- indicates IP TX is ready to take data
84
                        ip_rx_start                             : out std_logic;                                                                        -- indicates receipt of ip frame.
85
                        ip_rx                                           : out ipv4_rx_type;
86
                        -- system signals
87
                        rx_clk                                  : in  STD_LOGIC;
88
                        tx_clk                                  : in  STD_LOGIC;
89
                        reset                                   : in  STD_LOGIC;
90
                        our_ip_address          : in STD_LOGIC_VECTOR (31 downto 0);
91
                        our_mac_address                 : in std_logic_vector (47 downto 0);
92
                        control                                 : in ip_control_type;
93
                        -- status signals
94
                        arp_pkt_count                   : out STD_LOGIC_VECTOR(7 downto 0);                      -- count of arp pkts received
95
                        ip_pkt_count                    : out STD_LOGIC_VECTOR(7 downto 0);                      -- number of IP pkts received for us
96
                        -- MAC Transmitter
97
                        mac_tx_tdata         : out  std_logic_vector(7 downto 0);        -- data byte to tx
98
                        mac_tx_tvalid        : out  std_logic;                                                  -- tdata is valid
99
                        mac_tx_tready        : in std_logic;                                                    -- mac is ready to accept data
100
                        mac_tx_tfirst        : out  std_logic;                                                  -- indicates first byte of frame
101
                        mac_tx_tlast         : out  std_logic;                                                  -- indicates last byte of frame
102
                        -- MAC Receiver
103
                        mac_rx_tdata         : in std_logic_vector(7 downto 0);  -- data byte received
104
                        mac_rx_tvalid        : in std_logic;                                                    -- indicates tdata is valid
105
                        mac_rx_tready        : out  std_logic;                                                  -- tells mac that we are ready to take data
106
                        mac_rx_tlast         : in std_logic                                                             -- indicates last byte of the trame
107
                        );
108
    END COMPONENT;
109
 
110
 
111
  ------------------------------------------------------------------------------
112
  -- Component Declaration for the MAC layer
113
  ------------------------------------------------------------------------------
114
component mac_layer
115
         port (
116
                        -- System controls
117
                        ------------------
118
                        glbl_rst                      : in  std_logic;                                  -- asynchronous reset
119
                        mac_reset                       : in  std_logic;                                                        -- reset mac layer
120
                        clk_in_p                                : in  std_logic;                                        -- 200MHz clock input from board
121
                        clk_in_n                                : in  std_logic;
122
 
123
                        -- MAC Transmitter (AXI-S) Interface
124
                        ---------------------------------------------
125
                        mac_tx_clock                            : out  std_logic;                                                       -- data sampled on rising edge
126
                        mac_tx_tdata                            : in  std_logic_vector(7 downto 0);      -- data byte to tx
127
                        mac_tx_tvalid                           : in  std_logic;                                                        -- tdata is valid
128
                        mac_tx_tready                           : out std_logic;                                                        -- mac is ready to accept data
129
                        mac_tx_tlast                            : in  std_logic;                                                        -- indicates last byte of frame
130
 
131
                        -- MAC Receiver (AXI-S) Interface
132
                        ------------------------------------------
133
                        mac_rx_clock                            : out  std_logic;                                                       -- data valid on rising edge
134
                        mac_rx_tdata                            : out std_logic_vector(7 downto 0);      -- data byte received
135
                        mac_rx_tvalid                           : out std_logic;                                                        -- indicates tdata is valid
136
                        mac_rx_tready                           : in  std_logic;                                                        -- tells mac that we are ready to take data
137
                        mac_rx_tlast                            : out std_logic;                                                        -- indicates last byte of the trame
138
 
139
                        -- GMII Interface
140
                        -----------------     
141
                        phy_resetn                              : out std_logic;
142
                        gmii_txd                      : out std_logic_vector(7 downto 0);
143
                        gmii_tx_en                    : out std_logic;
144
                        gmii_tx_er                    : out std_logic;
145
                        gmii_tx_clk                   : out std_logic;
146
                        gmii_rxd                      : in  std_logic_vector(7 downto 0);
147
                        gmii_rx_dv                    : in  std_logic;
148
                        gmii_rx_er                    : in  std_logic;
149
                        gmii_rx_clk                   : in  std_logic;
150
                        gmii_col                      : in  std_logic;
151
                        gmii_crs                      : in  std_logic;
152
                        mii_tx_clk                    : in  std_logic
153
         );
154
end component;
155
 
156
        ---------------------------
157
        -- Signals
158
        ---------------------------
159
 
160
        -- MAC RX bus
161
        signal mac_rx_clock                     : std_logic;
162
        signal mac_rx_tdata                     : std_logic_vector (7 downto 0);
163
        signal mac_rx_tvalid                    : std_logic;
164
        signal mac_rx_tready                    : std_logic;
165
        signal mac_rx_tlast                     : std_logic;
166
        -- MAC TX bus
167
        signal mac_tx_clock                     : std_logic;
168
        signal mac_tx_tdata                     : std_logic_vector (7 downto 0);
169
        signal mac_tx_tvalid                    : std_logic;
170
        signal mac_tx_tready                    : std_logic;
171
        signal mac_tx_tlast                     : std_logic;
172
        -- control signals
173
        signal mac_tx_tready_int        : std_logic;
174
        signal mac_tx_granted_int       : std_logic;
175
 
176
begin
177
 
178
        clk_out <= mac_rx_clock;
179
 
180
   ------------------------------------------------------------------------------
181
   -- Instantiate the IP layer
182
   ------------------------------------------------------------------------------
183
 
184
    IP_layer : IP_complete_nomac
185
                generic map (
186
                         CLOCK_FREQ                     => CLOCK_FREQ,
187
                         ARP_TIMEOUT            => ARP_TIMEOUT
188
                         )
189
                PORT MAP (
190
                         -- IP Layer signals
191
          ip_tx_start                   => ip_tx_start,
192
          ip_tx                                         => ip_tx,
193
          ip_tx_result                  => ip_tx_result,
194
          ip_tx_data_out_ready => ip_tx_data_out_ready,
195
          ip_rx_start                   => ip_rx_start,
196
          ip_rx                                         => ip_rx,
197
                         -- system signals
198
          rx_clk                                        => mac_rx_clock,
199
          tx_clk                                        => mac_rx_clock,
200
          reset                                         => reset,
201
          our_ip_address                => our_ip_address,
202
          our_mac_address               => our_mac_address,
203
                         control                                        => control,
204
                         -- status signals
205
          arp_pkt_count                 => arp_pkt_count,
206
                         ip_pkt_count                   => ip_pkt_count,
207
                         -- MAC Transmitter
208
          mac_tx_tready                 => mac_tx_tready_int,
209
          mac_tx_tvalid                 => mac_tx_tvalid,
210
                         mac_tx_tfirst                  => open,
211
          mac_tx_tlast                  => mac_tx_tlast,
212
          mac_tx_tdata                  => mac_tx_tdata,
213
                    -- MAC Receiver
214
          mac_rx_tdata                  => mac_rx_tdata,
215
          mac_rx_tvalid                 => mac_rx_tvalid,
216
                         mac_rx_tready                  => mac_rx_tready,
217
          mac_rx_tlast                  => mac_rx_tlast
218
        );
219
 
220
 
221
   ------------------------------------------------------------------------------
222
   -- Instantiate the MAC layer
223
   ------------------------------------------------------------------------------
224
        mac_block : mac_layer
225
                 Port map(
226
                                -- System controls
227
                                ------------------
228
                                glbl_rst                                => reset,
229
                                mac_reset         => '0',
230
                                clk_in_p          => clk_in_p,
231
                                clk_in_n          => clk_in_n,
232
 
233
                                -- MAC Transmitter (AXI-S) Interface
234
                                ---------------------------------------------
235
                                mac_tx_clock      => mac_tx_clock,
236
                                mac_tx_tdata      => mac_tx_tdata,
237
                                mac_tx_tvalid     => mac_tx_tvalid,
238
                                mac_tx_tready     => mac_tx_tready_int,
239
                                mac_tx_tlast      => mac_tx_tlast,
240
 
241
                                -- MAC Receiver (AXI-S) Interface
242
                                ------------------------------------------
243
                                mac_rx_clock      => mac_rx_clock,
244
                                mac_rx_tdata      => mac_rx_tdata,
245
                                mac_rx_tvalid     => mac_rx_tvalid,
246
                                mac_rx_tready     => mac_rx_tready,
247
                                mac_rx_tlast      => mac_rx_tlast,
248
 
249
                                -- GMII Interface
250
                                -----------------     
251
                                phy_resetn        => phy_resetn,
252
                                gmii_txd                => gmii_txd,
253
                                gmii_tx_en        => gmii_tx_en,
254
                                gmii_tx_er        => gmii_tx_er,
255
                                gmii_tx_clk       => gmii_tx_clk,
256
                                gmii_rxd                => gmii_rxd,
257
                                gmii_rx_dv        => gmii_rx_dv,
258
                                gmii_rx_er        => gmii_rx_er,
259
                                gmii_rx_clk       => gmii_rx_clk,
260
                                gmii_col        => gmii_col,
261
                                gmii_crs                => gmii_crs,
262
                                mii_tx_clk        => mii_tx_clk
263
                          );
264
 
265
end structural;
266
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.