OpenCores
URL https://opencores.org/ocsvn/versatile_library/versatile_library/trunk

Subversion Repositories versatile_library

[/] [versatile_library/] [trunk/] [rtl/] [verilog/] [versatile_library_actel.v] - Blame information for rev 82

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 60 unneback
// default SYN_KEEP definition
2 6 unneback
//////////////////////////////////////////////////////////////////////
3
////                                                              ////
4
////  Versatile library, clock and reset                          ////
5
////                                                              ////
6
////  Description                                                 ////
7
////  Logic related to clock and reset                            ////
8
////                                                              ////
9
////                                                              ////
10
////  To Do:                                                      ////
11
////   - add more different registers                             ////
12
////                                                              ////
13
////  Author(s):                                                  ////
14
////      - Michael Unneback, unneback@opencores.org              ////
15
////        ORSoC AB                                              ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2010 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43 48 unneback
`timescale 1 ns/100 ps
44 6 unneback
// Global buffer
45
// usage:
46
// use to enable global buffers for high fan out signals such as clock and reset
47
// Version: 8.4 8.4.0.33
48
module gbuf(GL,CLK);
49
output GL;
50
input  CLK;
51
    wire GND;
52
    GND GND_1_net(.Y(GND));
53
    CLKDLY Inst1(.CLK(CLK), .GL(GL), .DLYGL0(GND), .DLYGL1(GND),
54
        .DLYGL2(GND), .DLYGL3(GND), .DLYGL4(GND)) /* synthesis black_box */;
55
endmodule
56
`timescale 1 ns/1 ns
57
module vl_gbuf ( i, o);
58
input i;
59
output o;
60
`ifdef SIM_GBUF
61
assign o=i;
62
`else
63
gbuf gbuf_i0 ( .CLK(i), .GL(o));
64
`endif
65
endmodule
66
 //ACTEL
67
// sync reset
68 17 unneback
// input active lo async reset, normally from external reset generator and/or switch
69 6 unneback
// output active high global reset sync with two DFFs 
70
`timescale 1 ns/100 ps
71
module vl_sync_rst ( rst_n_i, rst_o, clk);
72
input rst_n_i, clk;
73
output rst_o;
74 18 unneback
reg [1:0] tmp;
75 6 unneback
always @ (posedge clk or negedge rst_n_i)
76
if (!rst_n_i)
77 17 unneback
        tmp <= 2'b11;
78 6 unneback
else
79 33 unneback
        tmp <= {1'b0,tmp[1]};
80 17 unneback
vl_gbuf buf_i0( .i(tmp[0]), .o(rst_o));
81 6 unneback
endmodule
82
// vl_pll
83 32 unneback
///////////////////////////////////////////////////////////////////////////////
84 17 unneback
`timescale 1 ps/1 ps
85 6 unneback
module vl_pll ( clk_i, rst_n_i, lock, clk_o, rst_o);
86
parameter index = 0;
87
parameter number_of_clk = 1;
88 17 unneback
parameter period_time_0 = 20000;
89
parameter period_time_1 = 20000;
90
parameter period_time_2 = 20000;
91
parameter lock_delay = 2000000;
92 6 unneback
input clk_i, rst_n_i;
93
output lock;
94
output reg [0:number_of_clk-1] clk_o;
95
output [0:number_of_clk-1] rst_o;
96
`ifdef SIM_PLL
97
always
98
     #((period_time_0)/2) clk_o[0] <=  (!rst_n_i) ? 0 : ~clk_o[0];
99
generate if (number_of_clk > 1)
100
always
101
     #((period_time_1)/2) clk_o[1] <=  (!rst_n_i) ? 0 : ~clk_o[1];
102
endgenerate
103
generate if (number_of_clk > 2)
104
always
105
     #((period_time_2)/2) clk_o[2] <=  (!rst_n_i) ? 0 : ~clk_o[2];
106
endgenerate
107
genvar i;
108
generate for (i=0;i<number_of_clk;i=i+1) begin: clock
109
     vl_sync_rst rst_i0 ( .rst_n_i(rst_n_i | lock), .rst_o(rst_o[i]), .clk(clk_o[i]));
110
end
111
endgenerate
112
assign #lock_delay lock = rst_n_i;
113
endmodule
114
`else
115
generate if (number_of_clk==1 & index==0) begin
116
        pll0 pll_i0 (.POWERDOWN(1'b1), .CLKA(clk_i), .LOCK(lock), .GLA(clk_o[0]));
117
end
118
endgenerate // index==0
119
generate if (number_of_clk==1 & index==1) begin
120
        pll1 pll_i0 (.POWERDOWN(1'b1), .CLKA(clk_i), .LOCK(lock), .GLA(clk_o[0]));
121
end
122
endgenerate // index==1
123
generate if (number_of_clk==1 & index==2) begin
124
        pll2 pll_i0 (.POWERDOWN(1'b1), .CLKA(clk_i), .LOCK(lock), .GLA(clk_o[0]));
125
end
126
endgenerate // index==2
127
generate if (number_of_clk==1 & index==3) begin
128
        pll3 pll_i0 (.POWERDOWN(1'b1), .CLKA(clk_i), .LOCK(lock), .GLA(clk_o[0]));
129
end
130
endgenerate // index==0
131
generate if (number_of_clk==2 & index==0) begin
132
        pll0 pll_i0 (.POWERDOWN(1'b1), .CLKA(clk_i), .LOCK(lock), .GLA(clk_o[0]), .GLB(clk_o[1]));
133
end
134
endgenerate // index==0
135
generate if (number_of_clk==2 & index==1) begin
136
        pll1 pll_i0 (.POWERDOWN(1'b1), .CLKA(clk_i), .LOCK(lock), .GLA(clk_o[0]), .GLB(clk_o[1]));
137
end
138
endgenerate // index==1
139
generate if (number_of_clk==2 & index==2) begin
140
        pll2 pll_i0 (.POWERDOWN(1'b1), .CLKA(clk_i), .LOCK(lock), .GLA(clk_o[0]), .GLB(clk_o[1]));
141
end
142
endgenerate // index==2
143
generate if (number_of_clk==2 & index==3) begin
144
        pll3 pll_i0 (.POWERDOWN(1'b1), .CLKA(clk_i), .LOCK(lock), .GLA(clk_o[0]), .GLB(clk_o[1]));
145
end
146
endgenerate // index==0
147
generate if (number_of_clk==3 & index==0) begin
148
        pll0 pll_i0 (.POWERDOWN(1'b1), .CLKA(clk_i), .LOCK(lock), .GLA(clk_o[0]), .GLB(clk_o[1]), .GLC(clk_o[2]));
149
end
150
endgenerate // index==0
151
generate if (number_of_clk==3 & index==1) begin
152
        pll1 pll_i0 (.POWERDOWN(1'b1), .CLKA(clk_i), .LOCK(lock), .GLA(clk_o[0]), .GLB(clk_o[1]), .GLC(clk_o[2]));
153
end
154
endgenerate // index==1
155
generate if (number_of_clk==3 & index==2) begin
156
        pll2 pll_i0 (.POWERDOWN(1'b1), .CLKA(clk_i), .LOCK(lock), .GLA(clk_o[0]), .GLB(clk_o[1]), .GLC(clk_o[2]));
157
end
158
endgenerate // index==2
159
generate if (number_of_clk==3 & index==3) begin
160
        pll3 pll_i0 (.POWERDOWN(1'b1), .CLKA(clk_i), .LOCK(lock), .GLA(clk_o[0]), .GLB(clk_o[1]), .GLC(clk_o[2]));
161
end
162
endgenerate // index==0
163
genvar i;
164
generate for (i=0;i<number_of_clk;i=i+1) begin: clock
165 40 unneback
        vl_sync_rst rst_i0 ( .rst_n_i(rst_n_i | lock), .rst_o(rst_o), .clk(clk_o[i]));
166 6 unneback
end
167
endgenerate
168
endmodule
169
`endif
170 32 unneback
///////////////////////////////////////////////////////////////////////////////
171 6 unneback
 //actel
172
//////////////////////////////////////////////////////////////////////
173
////                                                              ////
174
////  Versatile library, registers                                ////
175
////                                                              ////
176
////  Description                                                 ////
177
////  Different type of registers                                 ////
178
////                                                              ////
179
////                                                              ////
180
////  To Do:                                                      ////
181
////   - add more different registers                             ////
182
////                                                              ////
183
////  Author(s):                                                  ////
184
////      - Michael Unneback, unneback@opencores.org              ////
185
////        ORSoC AB                                              ////
186
////                                                              ////
187
//////////////////////////////////////////////////////////////////////
188
////                                                              ////
189
//// Copyright (C) 2010 Authors and OPENCORES.ORG                 ////
190
////                                                              ////
191
//// This source file may be used and distributed without         ////
192
//// restriction provided that this copyright statement is not    ////
193
//// removed from the file and that any derivative work contains  ////
194
//// the original copyright notice and the associated disclaimer. ////
195
////                                                              ////
196
//// This source file is free software; you can redistribute it   ////
197
//// and/or modify it under the terms of the GNU Lesser General   ////
198
//// Public License as published by the Free Software Foundation; ////
199
//// either version 2.1 of the License, or (at your option) any   ////
200
//// later version.                                               ////
201
////                                                              ////
202
//// This source is distributed in the hope that it will be       ////
203
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
204
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
205
//// PURPOSE.  See the GNU Lesser General Public License for more ////
206
//// details.                                                     ////
207
////                                                              ////
208
//// You should have received a copy of the GNU Lesser General    ////
209
//// Public License along with this source; if not, download it   ////
210
//// from http://www.opencores.org/lgpl.shtml                     ////
211
////                                                              ////
212
//////////////////////////////////////////////////////////////////////
213 18 unneback
module vl_dff ( d, q, clk, rst);
214 6 unneback
        parameter width = 1;
215
        parameter reset_value = 0;
216
        input [width-1:0] d;
217
        input clk, rst;
218
        output reg [width-1:0] q;
219
        always @ (posedge clk or posedge rst)
220
        if (rst)
221
                q <= reset_value;
222
        else
223
                q <= d;
224
endmodule
225 18 unneback
module vl_dff_array ( d, q, clk, rst);
226 6 unneback
        parameter width = 1;
227
        parameter depth = 2;
228
        parameter reset_value = 1'b0;
229
        input [width-1:0] d;
230
        input clk, rst;
231
        output [width-1:0] q;
232
        reg  [0:depth-1] q_tmp [width-1:0];
233
        integer i;
234
        always @ (posedge clk or posedge rst)
235
        if (rst) begin
236
            for (i=0;i<depth;i=i+1)
237
                q_tmp[i] <= {width{reset_value}};
238
        end else begin
239
            q_tmp[0] <= d;
240
            for (i=1;i<depth;i=i+1)
241
                q_tmp[i] <= q_tmp[i-1];
242
        end
243
    assign q = q_tmp[depth-1];
244
endmodule
245 18 unneback
module vl_dff_ce ( d, ce, q, clk, rst);
246 6 unneback
        parameter width = 1;
247
        parameter reset_value = 0;
248
        input [width-1:0] d;
249
        input ce, clk, rst;
250
        output reg [width-1:0] q;
251
        always @ (posedge clk or posedge rst)
252
        if (rst)
253
                q <= reset_value;
254
        else
255
                if (ce)
256
                        q <= d;
257
endmodule
258 18 unneback
module vl_dff_ce_clear ( d, ce, clear, q, clk, rst);
259 8 unneback
        parameter width = 1;
260
        parameter reset_value = 0;
261
        input [width-1:0] d;
262 10 unneback
        input ce, clear, clk, rst;
263 8 unneback
        output reg [width-1:0] q;
264
        always @ (posedge clk or posedge rst)
265
        if (rst)
266
            q <= reset_value;
267
        else
268
            if (ce)
269
                if (clear)
270
                    q <= {width{1'b0}};
271
                else
272
                    q <= d;
273
endmodule
274 24 unneback
module vl_dff_ce_set ( d, ce, set, q, clk, rst);
275
        parameter width = 1;
276
        parameter reset_value = 0;
277
        input [width-1:0] d;
278
        input ce, set, clk, rst;
279
        output reg [width-1:0] q;
280
        always @ (posedge clk or posedge rst)
281
        if (rst)
282
            q <= reset_value;
283
        else
284
            if (ce)
285
                if (set)
286
                    q <= {width{1'b1}};
287
                else
288
                    q <= d;
289
endmodule
290 29 unneback
module vl_spr ( sp, r, q, clk, rst);
291 64 unneback
        //parameter width = 1;
292
        parameter reset_value = 1'b0;
293 29 unneback
        input sp, r;
294
        output reg q;
295
        input clk, rst;
296
        always @ (posedge clk or posedge rst)
297
        if (rst)
298
            q <= reset_value;
299
        else
300
            if (sp)
301
                q <= 1'b1;
302
            else if (r)
303
                q <= 1'b0;
304
endmodule
305
module vl_srp ( s, rp, q, clk, rst);
306
        parameter width = 1;
307
        parameter reset_value = 0;
308
        input s, rp;
309
        output reg q;
310
        input clk, rst;
311
        always @ (posedge clk or posedge rst)
312
        if (rst)
313
            q <= reset_value;
314
        else
315
            if (rp)
316
                q <= 1'b0;
317
            else if (s)
318
                q <= 1'b1;
319
endmodule
320 18 unneback
module vl_dff_sr ( aclr, aset, clock, data, q);
321 6 unneback
    input         aclr;
322
    input         aset;
323
    input         clock;
324
    input         data;
325
    output reg    q;
326
   always @ (posedge clock or posedge aclr or posedge aset)
327
     if (aclr)
328
       q <= 1'b0;
329
     else if (aset)
330
       q <= 1'b1;
331
     else
332
       q <= data;
333
endmodule
334
// LATCH
335
// For targtes not supporting LATCH use dff_sr with clk=1 and data=1
336 40 unneback
module vl_latch ( d, le, q, clk);
337 6 unneback
input d, le;
338 48 unneback
input clk;
339
always @ (le or d)
340 60 unneback
if (le)
341 48 unneback
    d <= q;
342 6 unneback
endmodule
343 18 unneback
module vl_shreg ( d, q, clk, rst);
344 17 unneback
parameter depth = 10;
345
input d;
346
output q;
347
input clk, rst;
348
reg [1:depth] dffs;
349
always @ (posedge clk or posedge rst)
350
if (rst)
351
    dffs <= {depth{1'b0}};
352
else
353
    dffs <= {d,dffs[1:depth-1]};
354
assign q = dffs[depth];
355
endmodule
356 18 unneback
module vl_shreg_ce ( d, ce, q, clk, rst);
357 17 unneback
parameter depth = 10;
358
input d, ce;
359
output q;
360
input clk, rst;
361
reg [1:depth] dffs;
362
always @ (posedge clk or posedge rst)
363
if (rst)
364
    dffs <= {depth{1'b0}};
365
else
366
    if (ce)
367
        dffs <= {d,dffs[1:depth-1]};
368
assign q = dffs[depth];
369
endmodule
370 18 unneback
module vl_delay ( d, q, clk, rst);
371 15 unneback
parameter depth = 10;
372
input d;
373
output q;
374
input clk, rst;
375
reg [1:depth] dffs;
376
always @ (posedge clk or posedge rst)
377
if (rst)
378
    dffs <= {depth{1'b0}};
379
else
380
    dffs <= {d,dffs[1:depth-1]};
381
assign q = dffs[depth];
382
endmodule
383 18 unneback
module vl_delay_emptyflag ( d, q, emptyflag, clk, rst);
384 17 unneback
parameter depth = 10;
385
input d;
386
output q, emptyflag;
387
input clk, rst;
388
reg [1:depth] dffs;
389
always @ (posedge clk or posedge rst)
390
if (rst)
391
    dffs <= {depth{1'b0}};
392
else
393
    dffs <= {d,dffs[1:depth-1]};
394
assign q = dffs[depth];
395
assign emptyflag = !(|dffs);
396
endmodule
397 6 unneback
//////////////////////////////////////////////////////////////////////
398
////                                                              ////
399 18 unneback
////  Logic functions                                             ////
400
////                                                              ////
401
////  Description                                                 ////
402
////  Logic functions such as multiplexers                        ////
403
////                                                              ////
404
////                                                              ////
405
////  To Do:                                                      ////
406
////   -                                                          ////
407
////                                                              ////
408
////  Author(s):                                                  ////
409
////      - Michael Unneback, unneback@opencores.org              ////
410
////        ORSoC AB                                              ////
411
////                                                              ////
412
//////////////////////////////////////////////////////////////////////
413
////                                                              ////
414
//// Copyright (C) 2010 Authors and OPENCORES.ORG                 ////
415
////                                                              ////
416
//// This source file may be used and distributed without         ////
417
//// restriction provided that this copyright statement is not    ////
418
//// removed from the file and that any derivative work contains  ////
419
//// the original copyright notice and the associated disclaimer. ////
420
////                                                              ////
421
//// This source file is free software; you can redistribute it   ////
422
//// and/or modify it under the terms of the GNU Lesser General   ////
423
//// Public License as published by the Free Software Foundation; ////
424
//// either version 2.1 of the License, or (at your option) any   ////
425
//// later version.                                               ////
426
////                                                              ////
427
//// This source is distributed in the hope that it will be       ////
428
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
429
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
430
//// PURPOSE.  See the GNU Lesser General Public License for more ////
431
//// details.                                                     ////
432
////                                                              ////
433
//// You should have received a copy of the GNU Lesser General    ////
434
//// Public License along with this source; if not, download it   ////
435
//// from http://www.opencores.org/lgpl.shtml                     ////
436
////                                                              ////
437
//////////////////////////////////////////////////////////////////////
438 36 unneback
module vl_mux_andor ( a, sel, dout);
439
parameter width = 32;
440
parameter nr_of_ports = 4;
441
input [nr_of_ports*width-1:0] a;
442
input [nr_of_ports-1:0] sel;
443
output reg [width-1:0] dout;
444 38 unneback
integer i,j;
445 36 unneback
always @ (a, sel)
446
begin
447
    dout = a[width-1:0] & {width{sel[0]}};
448 42 unneback
    for (i=1;i<nr_of_ports;i=i+1)
449
        for (j=0;j<width;j=j+1)
450
            dout[j] = (a[i*width + j] & sel[i]) | dout[j];
451 36 unneback
end
452
endmodule
453 34 unneback
module vl_mux2_andor ( a1, a0, sel, dout);
454
parameter width = 32;
455 35 unneback
localparam nr_of_ports = 2;
456 34 unneback
input [width-1:0] a1, a0;
457
input [nr_of_ports-1:0] sel;
458
output [width-1:0] dout;
459 36 unneback
vl_mux_andor
460 38 unneback
    # ( .width(width), .nr_of_ports(nr_of_ports))
461 36 unneback
    mux0( .a({a1,a0}), .sel(sel), .dout(dout));
462 34 unneback
endmodule
463
module vl_mux3_andor ( a2, a1, a0, sel, dout);
464
parameter width = 32;
465 35 unneback
localparam nr_of_ports = 3;
466 34 unneback
input [width-1:0] a2, a1, a0;
467
input [nr_of_ports-1:0] sel;
468
output [width-1:0] dout;
469 36 unneback
vl_mux_andor
470 38 unneback
    # ( .width(width), .nr_of_ports(nr_of_ports))
471 36 unneback
    mux0( .a({a2,a1,a0}), .sel(sel), .dout(dout));
472 34 unneback
endmodule
473 18 unneback
module vl_mux4_andor ( a3, a2, a1, a0, sel, dout);
474
parameter width = 32;
475 35 unneback
localparam nr_of_ports = 4;
476 18 unneback
input [width-1:0] a3, a2, a1, a0;
477
input [nr_of_ports-1:0] sel;
478 22 unneback
output [width-1:0] dout;
479 36 unneback
vl_mux_andor
480 38 unneback
    # ( .width(width), .nr_of_ports(nr_of_ports))
481 36 unneback
    mux0( .a({a3,a2,a1,a0}), .sel(sel), .dout(dout));
482 18 unneback
endmodule
483
module vl_mux5_andor ( a4, a3, a2, a1, a0, sel, dout);
484
parameter width = 32;
485 35 unneback
localparam nr_of_ports = 5;
486 18 unneback
input [width-1:0] a4, a3, a2, a1, a0;
487
input [nr_of_ports-1:0] sel;
488 22 unneback
output [width-1:0] dout;
489 36 unneback
vl_mux_andor
490 38 unneback
    # ( .width(width), .nr_of_ports(nr_of_ports))
491 36 unneback
    mux0( .a({a4,a3,a2,a1,a0}), .sel(sel), .dout(dout));
492 18 unneback
endmodule
493
module vl_mux6_andor ( a5, a4, a3, a2, a1, a0, sel, dout);
494
parameter width = 32;
495 35 unneback
localparam nr_of_ports = 6;
496 18 unneback
input [width-1:0] a5, a4, a3, a2, a1, a0;
497
input [nr_of_ports-1:0] sel;
498 22 unneback
output [width-1:0] dout;
499 36 unneback
vl_mux_andor
500 38 unneback
    # ( .width(width), .nr_of_ports(nr_of_ports))
501 36 unneback
    mux0( .a({a5,a4,a3,a2,a1,a0}), .sel(sel), .dout(dout));
502 18 unneback
endmodule
503 43 unneback
module vl_parity_generate (data, parity);
504
parameter word_size = 32;
505
parameter chunk_size = 8;
506
parameter parity_type = 1'b0; // 0 - even, 1 - odd parity
507
input [word_size-1:0] data;
508
output reg [word_size/chunk_size-1:0] parity;
509
integer i,j;
510
always @ (data)
511
for (i=0;i<word_size/chunk_size;i=i+1) begin
512
    parity[i] = parity_type;
513
    for (j=0;j<chunk_size;j=j+1) begin
514 46 unneback
        parity[i] = data[i*chunk_size+j] ^ parity[i];
515 43 unneback
    end
516
end
517
endmodule
518
module vl_parity_check( data, parity, parity_error);
519
parameter word_size = 32;
520
parameter chunk_size = 8;
521
parameter parity_type = 1'b0; // 0 - even, 1 - odd parity
522
input [word_size-1:0] data;
523
input [word_size/chunk_size-1:0] parity;
524
output parity_error;
525 44 unneback
reg [word_size/chunk_size-1:0] error_flag;
526 43 unneback
integer i,j;
527
always @ (data or parity)
528
for (i=0;i<word_size/chunk_size;i=i+1) begin
529
    error_flag[i] = parity[i] ^ parity_type;
530
    for (j=0;j<chunk_size;j=j+1) begin
531 46 unneback
        error_flag[i] = data[i*chunk_size+j] ^ error_flag[i];
532 43 unneback
    end
533
end
534
assign parity_error = |error_flag;
535
endmodule
536 18 unneback
//////////////////////////////////////////////////////////////////////
537
////                                                              ////
538 44 unneback
////  IO functions                                                ////
539
////                                                              ////
540
////  Description                                                 ////
541
////  IO functions such as IOB flip-flops                         ////
542
////                                                              ////
543
////                                                              ////
544
////  To Do:                                                      ////
545
////   -                                                          ////
546
////                                                              ////
547
////  Author(s):                                                  ////
548
////      - Michael Unneback, unneback@opencores.org              ////
549
////        ORSoC AB                                              ////
550
////                                                              ////
551
//////////////////////////////////////////////////////////////////////
552
////                                                              ////
553
//// Copyright (C) 2010 Authors and OPENCORES.ORG                 ////
554
////                                                              ////
555
//// This source file may be used and distributed without         ////
556
//// restriction provided that this copyright statement is not    ////
557
//// removed from the file and that any derivative work contains  ////
558
//// the original copyright notice and the associated disclaimer. ////
559
////                                                              ////
560
//// This source file is free software; you can redistribute it   ////
561
//// and/or modify it under the terms of the GNU Lesser General   ////
562
//// Public License as published by the Free Software Foundation; ////
563
//// either version 2.1 of the License, or (at your option) any   ////
564
//// later version.                                               ////
565
////                                                              ////
566
//// This source is distributed in the hope that it will be       ////
567
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
568
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
569
//// PURPOSE.  See the GNU Lesser General Public License for more ////
570
//// details.                                                     ////
571
////                                                              ////
572
//// You should have received a copy of the GNU Lesser General    ////
573
//// Public License along with this source; if not, download it   ////
574
//// from http://www.opencores.org/lgpl.shtml                     ////
575
////                                                              ////
576
//////////////////////////////////////////////////////////////////////
577 45 unneback
`timescale 1ns/1ns
578 44 unneback
module vl_o_dff (d_i, o_pad, clk, rst);
579
parameter width = 1;
580 45 unneback
parameter reset_value = {width{1'b0}};
581
input  [width-1:0]  d_i;
582 44 unneback
output [width-1:0] o_pad;
583
input clk, rst;
584
wire [width-1:0] d_i_int /*synthesis syn_keep = 1*/;
585 45 unneback
reg  [width-1:0] o_pad_int;
586 44 unneback
assign d_i_int = d_i;
587
genvar i;
588 45 unneback
generate
589 44 unneback
for (i=0;i<width;i=i+1) begin
590
    always @ (posedge clk or posedge rst)
591
    if (rst)
592 45 unneback
        o_pad_int[i] <= reset_value[i];
593 44 unneback
    else
594 45 unneback
        o_pad_int[i] <= d_i_int[i];
595
    assign #1 o_pad[i] = o_pad_int[i];
596 44 unneback
end
597
endgenerate
598
endmodule
599 45 unneback
`timescale 1ns/1ns
600 44 unneback
module vl_io_dff_oe ( d_i, d_o, oe, io_pad, clk, rst);
601
parameter width = 1;
602
input  [width-1:0] d_o;
603
output reg [width-1:0] d_i;
604
input oe;
605
inout [width-1:0] io_pad;
606
input clk, rst;
607
wire [width-1:0] oe_d /*synthesis syn_keep = 1*/;
608
reg [width-1:0] oe_q;
609
reg [width-1:0] d_o_q;
610
assign oe_d = {width{oe}};
611
genvar i;
612
generate
613
for (i=0;i<width;i=i+1) begin
614
    always @ (posedge clk or posedge rst)
615
    if (rst)
616
        oe_q[i] <= 1'b0;
617
    else
618
        oe_q[i] <= oe_d[i];
619
    always @ (posedge clk or posedge rst)
620
    if (rst)
621
        d_o_q[i] <= 1'b0;
622
    else
623
        d_o_q[i] <= d_o[i];
624
    always @ (posedge clk or posedge rst)
625
    if (rst)
626
        d_i[i] <= 1'b0;
627
    else
628
        d_i[i] <= io_pad[i];
629 45 unneback
    assign #1 io_pad[i] = (oe_q[i]) ? d_o_q[i] : 1'bz;
630 44 unneback
end
631
endgenerate
632
endmodule
633
//////////////////////////////////////////////////////////////////////
634
////                                                              ////
635 6 unneback
////  Versatile counter                                           ////
636
////                                                              ////
637
////  Description                                                 ////
638
////  Versatile counter, a reconfigurable binary, gray or LFSR    ////
639
////  counter                                                     ////
640
////                                                              ////
641
////  To Do:                                                      ////
642
////   - add LFSR with more taps                                  ////
643
////                                                              ////
644
////  Author(s):                                                  ////
645
////      - Michael Unneback, unneback@opencores.org              ////
646
////        ORSoC AB                                              ////
647
////                                                              ////
648
//////////////////////////////////////////////////////////////////////
649
////                                                              ////
650
//// Copyright (C) 2009 Authors and OPENCORES.ORG                 ////
651
////                                                              ////
652
//// This source file may be used and distributed without         ////
653
//// restriction provided that this copyright statement is not    ////
654
//// removed from the file and that any derivative work contains  ////
655
//// the original copyright notice and the associated disclaimer. ////
656
////                                                              ////
657
//// This source file is free software; you can redistribute it   ////
658
//// and/or modify it under the terms of the GNU Lesser General   ////
659
//// Public License as published by the Free Software Foundation; ////
660
//// either version 2.1 of the License, or (at your option) any   ////
661
//// later version.                                               ////
662
////                                                              ////
663
//// This source is distributed in the hope that it will be       ////
664
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
665
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
666
//// PURPOSE.  See the GNU Lesser General Public License for more ////
667
//// details.                                                     ////
668
////                                                              ////
669
//// You should have received a copy of the GNU Lesser General    ////
670
//// Public License along with this source; if not, download it   ////
671
//// from http://www.opencores.org/lgpl.shtml                     ////
672
////                                                              ////
673
//////////////////////////////////////////////////////////////////////
674
// binary counter
675 40 unneback
module vl_cnt_bin_ce (
676
 cke, q, rst, clk);
677 22 unneback
   parameter length = 4;
678 6 unneback
   input cke;
679
   output [length:1] q;
680
   input rst;
681
   input clk;
682
   parameter clear_value = 0;
683
   parameter set_value = 1;
684
   parameter wrap_value = 0;
685
   parameter level1_value = 15;
686
   reg  [length:1] qi;
687
   wire [length:1] q_next;
688
   assign q_next = qi + {{length-1{1'b0}},1'b1};
689
   always @ (posedge clk or posedge rst)
690
     if (rst)
691
       qi <= {length{1'b0}};
692
     else
693
     if (cke)
694
       qi <= q_next;
695
   assign q = qi;
696
endmodule
697
//////////////////////////////////////////////////////////////////////
698
////                                                              ////
699
////  Versatile counter                                           ////
700
////                                                              ////
701
////  Description                                                 ////
702
////  Versatile counter, a reconfigurable binary, gray or LFSR    ////
703
////  counter                                                     ////
704
////                                                              ////
705
////  To Do:                                                      ////
706
////   - add LFSR with more taps                                  ////
707
////                                                              ////
708
////  Author(s):                                                  ////
709
////      - Michael Unneback, unneback@opencores.org              ////
710
////        ORSoC AB                                              ////
711
////                                                              ////
712
//////////////////////////////////////////////////////////////////////
713
////                                                              ////
714
//// Copyright (C) 2009 Authors and OPENCORES.ORG                 ////
715
////                                                              ////
716
//// This source file may be used and distributed without         ////
717
//// restriction provided that this copyright statement is not    ////
718
//// removed from the file and that any derivative work contains  ////
719
//// the original copyright notice and the associated disclaimer. ////
720
////                                                              ////
721
//// This source file is free software; you can redistribute it   ////
722
//// and/or modify it under the terms of the GNU Lesser General   ////
723
//// Public License as published by the Free Software Foundation; ////
724
//// either version 2.1 of the License, or (at your option) any   ////
725
//// later version.                                               ////
726
////                                                              ////
727
//// This source is distributed in the hope that it will be       ////
728
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
729
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
730
//// PURPOSE.  See the GNU Lesser General Public License for more ////
731
//// details.                                                     ////
732
////                                                              ////
733
//// You should have received a copy of the GNU Lesser General    ////
734
//// Public License along with this source; if not, download it   ////
735
//// from http://www.opencores.org/lgpl.shtml                     ////
736
////                                                              ////
737
//////////////////////////////////////////////////////////////////////
738
// binary counter
739 40 unneback
module vl_cnt_bin_ce_rew_zq_l1 (
740
 cke, rew, zq, level1, rst, clk);
741 6 unneback
   parameter length = 4;
742
   input cke;
743
   input rew;
744 25 unneback
   output reg zq;
745
   output reg level1;
746
   input rst;
747
   input clk;
748
   parameter clear_value = 0;
749
   parameter set_value = 1;
750
   parameter wrap_value = 1;
751
   parameter level1_value = 15;
752 29 unneback
   wire clear;
753 30 unneback
   assign clear = 1'b0;
754 25 unneback
   reg  [length:1] qi;
755
   wire  [length:1] q_next, q_next_fw, q_next_rew;
756
   assign q_next_fw  = qi + {{length-1{1'b0}},1'b1};
757
   assign q_next_rew = qi - {{length-1{1'b0}},1'b1};
758
   assign q_next = rew ? q_next_rew : q_next_fw;
759
   always @ (posedge clk or posedge rst)
760
     if (rst)
761
       qi <= {length{1'b0}};
762
     else
763
     if (cke)
764
       qi <= q_next;
765
   always @ (posedge clk or posedge rst)
766
     if (rst)
767
       zq <= 1'b1;
768
     else
769
     if (cke)
770
       zq <= q_next == {length{1'b0}};
771
    always @ (posedge clk or posedge rst)
772
    if (rst)
773
        level1 <= 1'b0;
774
    else
775
    if (cke)
776 29 unneback
    if (clear)
777
        level1 <= 1'b0;
778
    else if (q_next == level1_value)
779 25 unneback
        level1 <= 1'b1;
780
    else if (qi == level1_value & rew)
781
        level1 <= 1'b0;
782
endmodule
783
//////////////////////////////////////////////////////////////////////
784
////                                                              ////
785
////  Versatile counter                                           ////
786
////                                                              ////
787
////  Description                                                 ////
788
////  Versatile counter, a reconfigurable binary, gray or LFSR    ////
789
////  counter                                                     ////
790
////                                                              ////
791
////  To Do:                                                      ////
792
////   - add LFSR with more taps                                  ////
793
////                                                              ////
794
////  Author(s):                                                  ////
795
////      - Michael Unneback, unneback@opencores.org              ////
796
////        ORSoC AB                                              ////
797
////                                                              ////
798
//////////////////////////////////////////////////////////////////////
799
////                                                              ////
800
//// Copyright (C) 2009 Authors and OPENCORES.ORG                 ////
801
////                                                              ////
802
//// This source file may be used and distributed without         ////
803
//// restriction provided that this copyright statement is not    ////
804
//// removed from the file and that any derivative work contains  ////
805
//// the original copyright notice and the associated disclaimer. ////
806
////                                                              ////
807
//// This source file is free software; you can redistribute it   ////
808
//// and/or modify it under the terms of the GNU Lesser General   ////
809
//// Public License as published by the Free Software Foundation; ////
810
//// either version 2.1 of the License, or (at your option) any   ////
811
//// later version.                                               ////
812
////                                                              ////
813
//// This source is distributed in the hope that it will be       ////
814
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
815
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
816
//// PURPOSE.  See the GNU Lesser General Public License for more ////
817
//// details.                                                     ////
818
////                                                              ////
819
//// You should have received a copy of the GNU Lesser General    ////
820
//// Public License along with this source; if not, download it   ////
821
//// from http://www.opencores.org/lgpl.shtml                     ////
822
////                                                              ////
823
//////////////////////////////////////////////////////////////////////
824
// binary counter
825 40 unneback
module vl_cnt_bin_ce_rew_q_zq_l1 (
826
 cke, rew, q, zq, level1, rst, clk);
827 25 unneback
   parameter length = 4;
828
   input cke;
829
   input rew;
830
   output [length:1] q;
831
   output reg zq;
832
   output reg level1;
833
   input rst;
834
   input clk;
835
   parameter clear_value = 0;
836
   parameter set_value = 1;
837
   parameter wrap_value = 1;
838
   parameter level1_value = 15;
839 29 unneback
   wire clear;
840 30 unneback
   assign clear = 1'b0;
841 25 unneback
   reg  [length:1] qi;
842
   wire  [length:1] q_next, q_next_fw, q_next_rew;
843
   assign q_next_fw  = qi + {{length-1{1'b0}},1'b1};
844
   assign q_next_rew = qi - {{length-1{1'b0}},1'b1};
845
   assign q_next = rew ? q_next_rew : q_next_fw;
846
   always @ (posedge clk or posedge rst)
847
     if (rst)
848
       qi <= {length{1'b0}};
849
     else
850
     if (cke)
851
       qi <= q_next;
852
   assign q = qi;
853
   always @ (posedge clk or posedge rst)
854
     if (rst)
855
       zq <= 1'b1;
856
     else
857
     if (cke)
858
       zq <= q_next == {length{1'b0}};
859
    always @ (posedge clk or posedge rst)
860
    if (rst)
861
        level1 <= 1'b0;
862
    else
863
    if (cke)
864 29 unneback
    if (clear)
865
        level1 <= 1'b0;
866
    else if (q_next == level1_value)
867 25 unneback
        level1 <= 1'b1;
868
    else if (qi == level1_value & rew)
869
        level1 <= 1'b0;
870
endmodule
871
//////////////////////////////////////////////////////////////////////
872
////                                                              ////
873
////  Versatile counter                                           ////
874
////                                                              ////
875
////  Description                                                 ////
876
////  Versatile counter, a reconfigurable binary, gray or LFSR    ////
877
////  counter                                                     ////
878
////                                                              ////
879
////  To Do:                                                      ////
880
////   - add LFSR with more taps                                  ////
881
////                                                              ////
882
////  Author(s):                                                  ////
883
////      - Michael Unneback, unneback@opencores.org              ////
884
////        ORSoC AB                                              ////
885
////                                                              ////
886
//////////////////////////////////////////////////////////////////////
887
////                                                              ////
888
//// Copyright (C) 2009 Authors and OPENCORES.ORG                 ////
889
////                                                              ////
890
//// This source file may be used and distributed without         ////
891
//// restriction provided that this copyright statement is not    ////
892
//// removed from the file and that any derivative work contains  ////
893
//// the original copyright notice and the associated disclaimer. ////
894
////                                                              ////
895
//// This source file is free software; you can redistribute it   ////
896
//// and/or modify it under the terms of the GNU Lesser General   ////
897
//// Public License as published by the Free Software Foundation; ////
898
//// either version 2.1 of the License, or (at your option) any   ////
899
//// later version.                                               ////
900
////                                                              ////
901
//// This source is distributed in the hope that it will be       ////
902
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
903
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
904
//// PURPOSE.  See the GNU Lesser General Public License for more ////
905
//// details.                                                     ////
906
////                                                              ////
907
//// You should have received a copy of the GNU Lesser General    ////
908
//// Public License along with this source; if not, download it   ////
909
//// from http://www.opencores.org/lgpl.shtml                     ////
910
////                                                              ////
911
//////////////////////////////////////////////////////////////////////
912 75 unneback
// LFSR counter
913
module vl_cnt_lfsr_ce (
914
 cke, zq, rst, clk);
915
   parameter length = 4;
916
   input cke;
917
   output reg zq;
918
   input rst;
919
   input clk;
920
   parameter clear_value = 0;
921
   parameter set_value = 1;
922
   parameter wrap_value = 0;
923
   parameter level1_value = 15;
924
   reg  [length:1] qi;
925
   reg lfsr_fb;
926
   wire [length:1] q_next;
927
   reg [32:1] polynom;
928
   integer i;
929
   always @ (qi)
930
   begin
931
        case (length)
932
         2: polynom = 32'b11;                               // 0x3
933
         3: polynom = 32'b110;                              // 0x6
934
         4: polynom = 32'b1100;                             // 0xC
935
         5: polynom = 32'b10100;                            // 0x14
936
         6: polynom = 32'b110000;                           // 0x30
937
         7: polynom = 32'b1100000;                          // 0x60
938
         8: polynom = 32'b10111000;                         // 0xb8
939
         9: polynom = 32'b100010000;                        // 0x110
940
        10: polynom = 32'b1001000000;                       // 0x240
941
        11: polynom = 32'b10100000000;                      // 0x500
942
        12: polynom = 32'b100000101001;                     // 0x829
943
        13: polynom = 32'b1000000001100;                    // 0x100C
944
        14: polynom = 32'b10000000010101;                   // 0x2015
945
        15: polynom = 32'b110000000000000;                  // 0x6000
946
        16: polynom = 32'b1101000000001000;                 // 0xD008
947
        17: polynom = 32'b10010000000000000;                // 0x12000
948
        18: polynom = 32'b100000010000000000;               // 0x20400
949
        19: polynom = 32'b1000000000000100011;              // 0x40023
950
        20: polynom = 32'b10010000000000000000;             // 0x90000
951
        21: polynom = 32'b101000000000000000000;            // 0x140000
952
        22: polynom = 32'b1100000000000000000000;           // 0x300000
953
        23: polynom = 32'b10000100000000000000000;          // 0x420000
954
        24: polynom = 32'b111000010000000000000000;         // 0xE10000
955
        25: polynom = 32'b1001000000000000000000000;        // 0x1200000
956
        26: polynom = 32'b10000000000000000000100011;       // 0x2000023
957
        27: polynom = 32'b100000000000000000000010011;      // 0x4000013
958
        28: polynom = 32'b1100100000000000000000000000;     // 0xC800000
959
        29: polynom = 32'b10100000000000000000000000000;    // 0x14000000
960
        30: polynom = 32'b100000000000000000000000101001;   // 0x20000029
961
        31: polynom = 32'b1001000000000000000000000000000;  // 0x48000000
962
        32: polynom = 32'b10000000001000000000000000000011; // 0x80200003
963
        default: polynom = 32'b0;
964
        endcase
965
        lfsr_fb = qi[length];
966
        for (i=length-1; i>=1; i=i-1) begin
967
            if (polynom[i])
968
                lfsr_fb = lfsr_fb  ~^ qi[i];
969
        end
970
    end
971
   assign q_next = (qi == wrap_value) ? {length{1'b0}} :{qi[length-1:1],lfsr_fb};
972
   always @ (posedge clk or posedge rst)
973
     if (rst)
974
       qi <= {length{1'b0}};
975
     else
976
     if (cke)
977
       qi <= q_next;
978
   always @ (posedge clk or posedge rst)
979
     if (rst)
980
       zq <= 1'b1;
981
     else
982
     if (cke)
983
       zq <= q_next == {length{1'b0}};
984
endmodule
985
//////////////////////////////////////////////////////////////////////
986
////                                                              ////
987
////  Versatile counter                                           ////
988
////                                                              ////
989
////  Description                                                 ////
990
////  Versatile counter, a reconfigurable binary, gray or LFSR    ////
991
////  counter                                                     ////
992
////                                                              ////
993
////  To Do:                                                      ////
994
////   - add LFSR with more taps                                  ////
995
////                                                              ////
996
////  Author(s):                                                  ////
997
////      - Michael Unneback, unneback@opencores.org              ////
998
////        ORSoC AB                                              ////
999
////                                                              ////
1000
//////////////////////////////////////////////////////////////////////
1001
////                                                              ////
1002
//// Copyright (C) 2009 Authors and OPENCORES.ORG                 ////
1003
////                                                              ////
1004
//// This source file may be used and distributed without         ////
1005
//// restriction provided that this copyright statement is not    ////
1006
//// removed from the file and that any derivative work contains  ////
1007
//// the original copyright notice and the associated disclaimer. ////
1008
////                                                              ////
1009
//// This source file is free software; you can redistribute it   ////
1010
//// and/or modify it under the terms of the GNU Lesser General   ////
1011
//// Public License as published by the Free Software Foundation; ////
1012
//// either version 2.1 of the License, or (at your option) any   ////
1013
//// later version.                                               ////
1014
////                                                              ////
1015
//// This source is distributed in the hope that it will be       ////
1016
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
1017
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
1018
//// PURPOSE.  See the GNU Lesser General Public License for more ////
1019
//// details.                                                     ////
1020
////                                                              ////
1021
//// You should have received a copy of the GNU Lesser General    ////
1022
//// Public License along with this source; if not, download it   ////
1023
//// from http://www.opencores.org/lgpl.shtml                     ////
1024
////                                                              ////
1025
//////////////////////////////////////////////////////////////////////
1026 6 unneback
// GRAY counter
1027 40 unneback
module vl_cnt_gray_ce_bin (
1028
 cke, q, q_bin, rst, clk);
1029 6 unneback
   parameter length = 4;
1030
   input cke;
1031
   output reg [length:1] q;
1032
   output [length:1] q_bin;
1033
   input rst;
1034
   input clk;
1035
   parameter clear_value = 0;
1036
   parameter set_value = 1;
1037
   parameter wrap_value = 8;
1038
   parameter level1_value = 15;
1039
   reg  [length:1] qi;
1040
   wire [length:1] q_next;
1041
   assign q_next = qi + {{length-1{1'b0}},1'b1};
1042
   always @ (posedge clk or posedge rst)
1043
     if (rst)
1044
       qi <= {length{1'b0}};
1045
     else
1046
     if (cke)
1047
       qi <= q_next;
1048
   always @ (posedge clk or posedge rst)
1049
     if (rst)
1050
       q <= {length{1'b0}};
1051
     else
1052
       if (cke)
1053
         q <= (q_next>>1) ^ q_next;
1054
   assign q_bin = qi;
1055
endmodule
1056
//////////////////////////////////////////////////////////////////////
1057
////                                                              ////
1058
////  Versatile library, counters                                 ////
1059
////                                                              ////
1060
////  Description                                                 ////
1061
////  counters                                                    ////
1062
////                                                              ////
1063
////                                                              ////
1064
////  To Do:                                                      ////
1065
////   - add more counters                                        ////
1066
////                                                              ////
1067
////  Author(s):                                                  ////
1068
////      - Michael Unneback, unneback@opencores.org              ////
1069
////        ORSoC AB                                              ////
1070
////                                                              ////
1071
//////////////////////////////////////////////////////////////////////
1072
////                                                              ////
1073
//// Copyright (C) 2010 Authors and OPENCORES.ORG                 ////
1074
////                                                              ////
1075
//// This source file may be used and distributed without         ////
1076
//// restriction provided that this copyright statement is not    ////
1077
//// removed from the file and that any derivative work contains  ////
1078
//// the original copyright notice and the associated disclaimer. ////
1079
////                                                              ////
1080
//// This source file is free software; you can redistribute it   ////
1081
//// and/or modify it under the terms of the GNU Lesser General   ////
1082
//// Public License as published by the Free Software Foundation; ////
1083
//// either version 2.1 of the License, or (at your option) any   ////
1084
//// later version.                                               ////
1085
////                                                              ////
1086
//// This source is distributed in the hope that it will be       ////
1087
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
1088
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
1089
//// PURPOSE.  See the GNU Lesser General Public License for more ////
1090
//// details.                                                     ////
1091
////                                                              ////
1092
//// You should have received a copy of the GNU Lesser General    ////
1093
//// Public License along with this source; if not, download it   ////
1094
//// from http://www.opencores.org/lgpl.shtml                     ////
1095
////                                                              ////
1096
//////////////////////////////////////////////////////////////////////
1097 18 unneback
module vl_cnt_shreg_wrap ( q, rst, clk);
1098 6 unneback
   parameter length = 4;
1099
   output reg [0:length-1] q;
1100
   input rst;
1101
   input clk;
1102
    always @ (posedge clk or posedge rst)
1103
    if (rst)
1104
        q <= {1'b1,{length-1{1'b0}}};
1105
    else
1106
        q <= {q[length-1],q[0:length-2]};
1107
endmodule
1108 18 unneback
module vl_cnt_shreg_ce_wrap ( cke, q, rst, clk);
1109 6 unneback
   parameter length = 4;
1110
   input cke;
1111
   output reg [0:length-1] q;
1112
   input rst;
1113
   input clk;
1114
    always @ (posedge clk or posedge rst)
1115
    if (rst)
1116
        q <= {1'b1,{length-1{1'b0}}};
1117
    else
1118
        if (cke)
1119
            q <= {q[length-1],q[0:length-2]};
1120
endmodule
1121 18 unneback
module vl_cnt_shreg_ce_clear ( cke, clear, q, rst, clk);
1122 6 unneback
   parameter length = 4;
1123
   input cke, clear;
1124
   output reg [0:length-1] q;
1125
   input rst;
1126
   input clk;
1127
    always @ (posedge clk or posedge rst)
1128
    if (rst)
1129
        q <= {1'b1,{length-1{1'b0}}};
1130
    else
1131
        if (cke)
1132
            if (clear)
1133
                q <= {1'b1,{length-1{1'b0}}};
1134
            else
1135
                q <= q >> 1;
1136
endmodule
1137 18 unneback
module vl_cnt_shreg_ce_clear_wrap ( cke, clear, q, rst, clk);
1138 6 unneback
   parameter length = 4;
1139
   input cke, clear;
1140
   output reg [0:length-1] q;
1141
   input rst;
1142
   input clk;
1143
    always @ (posedge clk or posedge rst)
1144
    if (rst)
1145
        q <= {1'b1,{length-1{1'b0}}};
1146
    else
1147
        if (cke)
1148
            if (clear)
1149
                q <= {1'b1,{length-1{1'b0}}};
1150
            else
1151
            q <= {q[length-1],q[0:length-2]};
1152
endmodule
1153
//////////////////////////////////////////////////////////////////////
1154
////                                                              ////
1155
////  Versatile library, memories                                 ////
1156
////                                                              ////
1157
////  Description                                                 ////
1158
////  memories                                                    ////
1159
////                                                              ////
1160
////                                                              ////
1161
////  To Do:                                                      ////
1162
////   - add more memory types                                    ////
1163
////                                                              ////
1164
////  Author(s):                                                  ////
1165
////      - Michael Unneback, unneback@opencores.org              ////
1166
////        ORSoC AB                                              ////
1167
////                                                              ////
1168
//////////////////////////////////////////////////////////////////////
1169
////                                                              ////
1170
//// Copyright (C) 2010 Authors and OPENCORES.ORG                 ////
1171
////                                                              ////
1172
//// This source file may be used and distributed without         ////
1173
//// restriction provided that this copyright statement is not    ////
1174
//// removed from the file and that any derivative work contains  ////
1175
//// the original copyright notice and the associated disclaimer. ////
1176
////                                                              ////
1177
//// This source file is free software; you can redistribute it   ////
1178
//// and/or modify it under the terms of the GNU Lesser General   ////
1179
//// Public License as published by the Free Software Foundation; ////
1180
//// either version 2.1 of the License, or (at your option) any   ////
1181
//// later version.                                               ////
1182
////                                                              ////
1183
//// This source is distributed in the hope that it will be       ////
1184
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
1185
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
1186
//// PURPOSE.  See the GNU Lesser General Public License for more ////
1187
//// details.                                                     ////
1188
////                                                              ////
1189
//// You should have received a copy of the GNU Lesser General    ////
1190
//// Public License along with this source; if not, download it   ////
1191
//// from http://www.opencores.org/lgpl.shtml                     ////
1192
////                                                              ////
1193
//////////////////////////////////////////////////////////////////////
1194
/// ROM
1195 7 unneback
module vl_rom_init ( adr, q, clk);
1196
   parameter data_width = 32;
1197
   parameter addr_width = 8;
1198 75 unneback
   parameter mem_size = 1<<addr_width;
1199 7 unneback
   input [(addr_width-1):0]       adr;
1200
   output reg [(data_width-1):0] q;
1201
   input                         clk;
1202 75 unneback
   reg [data_width-1:0] rom [mem_size-1:0];
1203 7 unneback
   parameter memory_file = "vl_rom.vmem";
1204
   initial
1205
     begin
1206
        $readmemh(memory_file, rom);
1207
     end
1208
   always @ (posedge clk)
1209
     q <= rom[adr];
1210
endmodule
1211 6 unneback
// Single port RAM
1212
module vl_ram ( d, adr, we, q, clk);
1213
   parameter data_width = 32;
1214
   parameter addr_width = 8;
1215 75 unneback
   parameter mem_size = 1<<addr_width;
1216 6 unneback
   input [(data_width-1):0]      d;
1217
   input [(addr_width-1):0]       adr;
1218
   input                         we;
1219 7 unneback
   output reg [(data_width-1):0] q;
1220 6 unneback
   input                         clk;
1221 75 unneback
   reg [data_width-1:0] ram [mem_szie-1:0];
1222 7 unneback
   parameter init = 0;
1223
   parameter memory_file = "vl_ram.vmem";
1224
   generate if (init) begin : init_mem
1225
   initial
1226
     begin
1227
        $readmemh(memory_file, ram);
1228
     end
1229
   end
1230
   endgenerate
1231 6 unneback
   always @ (posedge clk)
1232
   begin
1233
   if (we)
1234
     ram[adr] <= d;
1235
   q <= ram[adr];
1236
   end
1237
endmodule
1238 7 unneback
module vl_ram_be ( d, adr, be, we, q, clk);
1239
   parameter data_width = 32;
1240 72 unneback
   parameter addr_width = 6;
1241 75 unneback
   parameter mem_size = 1<<addr_width;
1242 7 unneback
   input [(data_width-1):0]      d;
1243
   input [(addr_width-1):0]       adr;
1244 73 unneback
   input [(data_width/8)-1:0]    be;
1245 7 unneback
   input                         we;
1246
   output reg [(data_width-1):0] q;
1247
   input                         clk;
1248 65 unneback
`ifdef SYSTEMVERILOG
1249 68 unneback
   logic [data_width/8-1:0][7:0] ram[0:mem_size-1];// # words = 1 << address width
1250 65 unneback
`else
1251 68 unneback
   reg [data_width-1:0] ram [mem_size-1:0];
1252 65 unneback
`endif
1253 60 unneback
   parameter memory_init = 0;
1254 7 unneback
   parameter memory_file = "vl_ram.vmem";
1255 60 unneback
   generate if (memory_init) begin : init_mem
1256 7 unneback
   initial
1257
     begin
1258
        $readmemh(memory_file, ram);
1259
     end
1260
   end
1261
   endgenerate
1262 60 unneback
`ifdef SYSTEMVERILOG
1263
// use a multi-dimensional packed array
1264
//to model individual bytes within the word
1265
always_ff@(posedge clk)
1266
begin
1267
    if(we) begin // note: we should have a for statement to support any bus width
1268 65 unneback
        if(be[3]) ram[adr[addr_width-2:0]][3] <= d[31:24];
1269
        if(be[2]) ram[adr[addr_width-2:0]][2] <= d[23:16];
1270
        if(be[1]) ram[adr[addr_width-2:0]][1] <= d[15:8];
1271
        if(be[0]) ram[adr[addr_width-2:0]][0] <= d[7:0];
1272 60 unneback
    end
1273 65 unneback
    q <= ram[adr];
1274 60 unneback
end
1275
`else
1276 7 unneback
   genvar i;
1277
   generate for (i=0;i<addr_width/4;i=i+1) begin : be_ram
1278
      always @ (posedge clk)
1279
      if (we & be[i])
1280
        ram[adr][(i+1)*8-1:i*8] <= d[(i+1)*8-1:i*8];
1281
   end
1282
   endgenerate
1283
   always @ (posedge clk)
1284
      q <= ram[adr];
1285 60 unneback
`endif
1286 7 unneback
endmodule
1287 48 unneback
        // ACTEL FPGA should not use logic to handle rw collision
1288 7 unneback
module vl_dpram_1r1w ( d_a, adr_a, we_a, clk_a, q_b, adr_b, clk_b );
1289 6 unneback
   parameter data_width = 32;
1290
   parameter addr_width = 8;
1291 75 unneback
   parameter mem_size = 1<<addr_width;
1292 6 unneback
   input [(data_width-1):0]      d_a;
1293
   input [(addr_width-1):0]       adr_a;
1294
   input [(addr_width-1):0]       adr_b;
1295
   input                         we_a;
1296
   output [(data_width-1):0]      q_b;
1297
   input                         clk_a, clk_b;
1298
   reg [(addr_width-1):0]         adr_b_reg;
1299 75 unneback
   reg [data_width-1:0] ram [mem_szie-1:0] /*synthesis syn_ramstyle = "no_rw_check"*/;
1300 7 unneback
   parameter init = 0;
1301
   parameter memory_file = "vl_ram.vmem";
1302
   generate if (init) begin : init_mem
1303
   initial
1304
     begin
1305
        $readmemh(memory_file, ram);
1306
     end
1307
   end
1308
   endgenerate
1309 6 unneback
   always @ (posedge clk_a)
1310
   if (we_a)
1311
     ram[adr_a] <= d_a;
1312
   always @ (posedge clk_b)
1313
   adr_b_reg <= adr_b;
1314
   assign q_b = ram[adr_b_reg];
1315
endmodule
1316 7 unneback
module vl_dpram_2r1w ( d_a, q_a, adr_a, we_a, clk_a, q_b, adr_b, clk_b );
1317 6 unneback
   parameter data_width = 32;
1318
   parameter addr_width = 8;
1319 75 unneback
   parameter mem_size = 1<<addr_width;
1320 6 unneback
   input [(data_width-1):0]      d_a;
1321
   input [(addr_width-1):0]       adr_a;
1322
   input [(addr_width-1):0]       adr_b;
1323
   input                         we_a;
1324
   output [(data_width-1):0]      q_b;
1325
   output reg [(data_width-1):0] q_a;
1326
   input                         clk_a, clk_b;
1327
   reg [(data_width-1):0]         q_b;
1328 75 unneback
   reg [data_width-1:0] ram [mem_szie-1:0] /*synthesis syn_ramstyle = "no_rw_check"*/;
1329 7 unneback
   parameter init = 0;
1330
   parameter memory_file = "vl_ram.vmem";
1331
   generate if (init) begin : init_mem
1332
   initial
1333
     begin
1334
        $readmemh(memory_file, ram);
1335
     end
1336
   end
1337
   endgenerate
1338 6 unneback
   always @ (posedge clk_a)
1339
     begin
1340
        q_a <= ram[adr_a];
1341
        if (we_a)
1342
             ram[adr_a] <= d_a;
1343
     end
1344
   always @ (posedge clk_b)
1345
          q_b <= ram[adr_b];
1346
endmodule
1347 7 unneback
module vl_dpram_2r2w ( d_a, q_a, adr_a, we_a, clk_a, d_b, q_b, adr_b, we_b, clk_b );
1348 6 unneback
   parameter data_width = 32;
1349
   parameter addr_width = 8;
1350 75 unneback
   parameter mem_size = 1<<addr_width;
1351 6 unneback
   input [(data_width-1):0]      d_a;
1352
   input [(addr_width-1):0]       adr_a;
1353
   input [(addr_width-1):0]       adr_b;
1354
   input                         we_a;
1355
   output [(data_width-1):0]      q_b;
1356
   input [(data_width-1):0]       d_b;
1357
   output reg [(data_width-1):0] q_a;
1358
   input                         we_b;
1359
   input                         clk_a, clk_b;
1360
   reg [(data_width-1):0]         q_b;
1361 75 unneback
   reg [data_width-1:0] ram [mem_size-1:0] /*synthesis syn_ramstyle = "no_rw_check"*/;
1362 7 unneback
   parameter init = 0;
1363
   parameter memory_file = "vl_ram.vmem";
1364
   generate if (init) begin : init_mem
1365
   initial
1366
     begin
1367
        $readmemh(memory_file, ram);
1368
     end
1369
   end
1370
   endgenerate
1371 6 unneback
   always @ (posedge clk_a)
1372
     begin
1373
        q_a <= ram[adr_a];
1374
        if (we_a)
1375
             ram[adr_a] <= d_a;
1376
     end
1377
   always @ (posedge clk_b)
1378
     begin
1379
        q_b <= ram[adr_b];
1380
        if (we_b)
1381
          ram[adr_b] <= d_b;
1382
     end
1383
endmodule
1384 75 unneback
module vl_dpram_be_2r2w ( d_a, q_a, adr_a, be_a, we_a, clk_a, d_b, q_b, adr_b, be_b, we_b, clk_b );
1385
   parameter a_data_width = 32;
1386
   parameter a_addr_width = 8;
1387
   parameter b_data_width = 64;
1388
   parameter b_addr_width = 7;
1389
   //parameter mem_size = (a_addr_width>b_addr_width) ? (1<<a_addr_width) : (1<<b_addr_width);
1390
   parameter mem_size = 1024;
1391
   input [(a_data_width-1):0]      d_a;
1392
   input [(a_addr_width-1):0]     adr_a;
1393
   input [(b_addr_width-1):0]     adr_b;
1394
   input [(a_data_width/4-1):0]    be_a;
1395
   input                         we_a;
1396
   output [(b_data_width-1):0]    q_b;
1397
   input [(b_data_width-1):0]     d_b;
1398
   output reg [(a_data_width-1):0] q_a;
1399
   input [(b_data_width/4-1):0]    be_b;
1400
   input                         we_b;
1401
   input                         clk_a, clk_b;
1402
   reg [(b_data_width-1):0]       q_b;
1403
generate
1404
if (a_data_width==32 & b_data_width==64) begin : inst32to64
1405 77 unneback
    wire [63:0] tmp;
1406 75 unneback
    vl_dpram_2r2w
1407
    # (.data_width(8), .addr_width(b_addr_width-3))
1408
    ram0 (
1409
        .d_a(d_a[7:0]),
1410
        .q_a(tmp[7:0]),
1411
        .adr_a(adr_a[a_addr_width-3-1:0]),
1412
        .we_a(we_a & be_a[0] & !adr_a[0]),
1413
        .clk_a(clk_a),
1414
        .d_b(d_b[7:0]),
1415
        .q_b(q_b[7:0]),
1416
        .adr_b(adr_b[b_addr_width-3-1:0]),
1417
        .we_b(we_b),
1418
        .clk_b(clk_b) );
1419
    vl_dpram_2r2w
1420
    # (.data_width(8), .addr_width(b_addr_width-3))
1421
    ram1 (
1422
        .d_a(d_a[7:0]),
1423
        .q_a(tmp[7:0]),
1424
        .adr_a(adr_a[a_addr_width-3-1:0]),
1425
        .we_a(we_a),
1426
        .clk_a(clk_a),
1427
        .d_b(d_b[7:0]),
1428
        .q_b(q_b[7:0]),
1429
        .adr_b(adr_b[b_addr_width-3-1:0]),
1430
        .we_b(we_b),
1431
        .clk_b(clk_b) );
1432
    vl_dpram_2r2w
1433
    # (.data_width(8), .addr_width(b_addr_width-3))
1434
    ram2 (
1435
        .d_a(d_a[15:8]),
1436
        .q_a(tmp[7:0]),
1437
        .adr_a(adr_a[a_addr_width-3-1:0]),
1438
        .we_a(we_a),
1439
        .clk_a(clk_a),
1440
        .d_b(d_b[7:0]),
1441
        .q_b(q_b[7:0]),
1442
        .adr_b(adr_b[b_addr_width-3-1:0]),
1443
        .we_b(we_b),
1444
        .clk_b(clk_b) );
1445
    vl_dpram_2r2w
1446
    # (.data_width(8), .addr_width(b_addr_width-3))
1447
    ram3 (
1448
        .d_a(d_a[15:8]),
1449
        .q_a(tmp[7:0]),
1450
        .adr_a(adr_a[a_addr_width-3-1:0]),
1451
        .we_a(we_a),
1452
        .clk_a(clk_a),
1453
        .d_b(d_b[7:0]),
1454
        .q_b(q_b[7:0]),
1455
        .adr_b(adr_b[b_addr_width-3-1:0]),
1456
        .we_b(we_b),
1457
        .clk_b(clk_b) );
1458
    vl_dpram_2r2w
1459
    # (.data_width(8), .addr_width(b_addr_width-3))
1460
    ram4 (
1461
        .d_a(d_a[23:16]),
1462
        .q_a(tmp[7:0]),
1463
        .adr_a(adr_a[a_addr_width-3-1:0]),
1464
        .we_a(we_a),
1465
        .clk_a(clk_a),
1466
        .d_b(d_b[7:0]),
1467
        .q_b(q_b[7:0]),
1468
        .adr_b(adr_b[b_addr_width-3-1:0]),
1469
        .we_b(we_b),
1470
        .clk_b(clk_b) );
1471
    vl_dpram_2r2w
1472
    # (.data_width(8), .addr_width(b_addr_width-3))
1473
    ram5 (
1474
        .d_a(d_a[23:16]),
1475
        .q_a(tmp[7:0]),
1476
        .adr_a(adr_a[a_addr_width-3-1:0]),
1477
        .we_a(we_a),
1478
        .clk_a(clk_a),
1479
        .d_b(d_b[7:0]),
1480
        .q_b(q_b[7:0]),
1481
        .adr_b(adr_b[b_addr_width-3-1:0]),
1482
        .we_b(we_b),
1483
        .clk_b(clk_b) );
1484
    vl_dpram_2r2w
1485
    # (.data_width(8), .addr_width(b_addr_width-3))
1486
    ram6 (
1487
        .d_a(d_a[31:24]),
1488
        .q_a(tmp[7:0]),
1489
        .adr_a(adr_a[a_addr_width-3-1:0]),
1490
        .we_a(we_a),
1491
        .clk_a(clk_a),
1492
        .d_b(d_b[7:0]),
1493
        .q_b(q_b[7:0]),
1494
        .adr_b(adr_b[b_addr_width-3-1:0]),
1495
        .we_b(we_b),
1496
        .clk_b(clk_b) );
1497
    vl_dpram_2r2w
1498
    # (.data_width(8), .addr_width(b_addr_width-3))
1499
    ram7 (
1500
        .d_a(d_a[31:24]),
1501
        .q_a(tmp[7:0]),
1502
        .adr_a(adr_a[a_addr_width-3-1:0]),
1503
        .we_a(we_a),
1504
        .clk_a(clk_a),
1505
        .d_b(d_b[7:0]),
1506
        .q_b(q_b[7:0]),
1507
        .adr_b(adr_b[b_addr_width-3-1:0]),
1508
        .we_b(we_b),
1509
        .clk_b(clk_b) );
1510
/*
1511
   reg [7:0] ram0 [mem_size/8-1:0];
1512
   wire [7:0] wea, web;
1513
   assign wea = we_a & be_a[0];
1514
   assign web = we_b & be_b[0];
1515
   always @ (posedge clk_a)
1516
    if (wea)
1517
        ram0[adr_a] <= d_a[7:0];
1518
    always @ (posedge clk_a)
1519
        q_a[7:0] <= ram0[adr_a];
1520
   always @ (posedge clk_a)
1521
    if (web)
1522
        ram0[adr_b] <= d_b[7:0];
1523
    always @ (posedge clk_b)
1524
        q_b[7:0] <= ram0[adr_b];
1525
*/
1526
end
1527
endgenerate
1528
/*
1529
   generate for (i=0;i<addr_width/4;i=i+1) begin : be_rama
1530
      always @ (posedge clk_a)
1531
      if (we_a & be_a[i])
1532
        ram[adr_a][(i+1)*8-1:i*8] <= d_a[(i+1)*8-1:i*8];
1533
   end
1534
   endgenerate
1535
   always @ (posedge clk_a)
1536
      q_a <= ram[adr_a];
1537
   genvar i;
1538
   generate for (i=0;i<addr_width/4;i=i+1) begin : be_ramb
1539
      always @ (posedge clk_a)
1540
      if (we_b & be_b[i])
1541
        ram[adr_b][(i+1)*8-1:i*8] <= d_b[(i+1)*8-1:i*8];
1542
   end
1543
   endgenerate
1544
   always @ (posedge clk_b)
1545
      q_b <= ram[adr_b];
1546
*/
1547
/*
1548
   always @ (posedge clk_a)
1549
     begin
1550
        q_a <= ram[adr_a];
1551
        if (we_a)
1552
             ram[adr_a] <= d_a;
1553
     end
1554
   always @ (posedge clk_b)
1555
     begin
1556
        q_b <= ram[adr_b];
1557
        if (we_b)
1558
          ram[adr_b] <= d_b;
1559
     end
1560
*/
1561
endmodule
1562 6 unneback
// Content addresable memory, CAM
1563
// FIFO
1564 25 unneback
module vl_fifo_1r1w_fill_level_sync (
1565
    d, wr, fifo_full,
1566
    q, rd, fifo_empty,
1567
    fill_level,
1568
    clk, rst
1569
    );
1570
parameter data_width = 18;
1571
parameter addr_width = 4;
1572
// write side
1573
input  [data_width-1:0] d;
1574
input                   wr;
1575
output                  fifo_full;
1576
// read side
1577
output [data_width-1:0] q;
1578
input                   rd;
1579
output                  fifo_empty;
1580
// common
1581
output [addr_width:0]   fill_level;
1582
input rst, clk;
1583
wire [addr_width:1] wadr, radr;
1584
vl_cnt_bin_ce
1585
    # ( .length(addr_width))
1586
    fifo_wr_adr( .cke(wr), .q(wadr), .rst(rst), .clk(clk));
1587
vl_cnt_bin_ce
1588
    # (.length(addr_width))
1589
    fifo_rd_adr( .cke(rd), .q(radr), .rst(rst), .clk(clk));
1590
vl_dpram_1r1w
1591
    # (.data_width(data_width), .addr_width(addr_width))
1592
    dpram ( .d_a(d), .adr_a(wadr), .we_a(wr), .clk_a(clk), .q_b(q), .adr_b(radr), .clk_b(clk));
1593 31 unneback
vl_cnt_bin_ce_rew_q_zq_l1
1594 27 unneback
    # (.length(addr_width+1), .level1_value(1<<addr_width))
1595 25 unneback
    fill_level_cnt( .cke(rd ^ wr), .rew(rd), .q(fill_level), .zq(fifo_empty), .level1(fifo_full), .rst(rst), .clk(clk));
1596
endmodule
1597 27 unneback
// Intended use is two small FIFOs (RX and TX typically) in one FPGA RAM resource
1598
// RAM is supposed to be larger than the two FIFOs
1599
// LFSR counters used adr pointers
1600
module vl_fifo_2r2w_sync_simplex (
1601
    // a side
1602
    a_d, a_wr, a_fifo_full,
1603
    a_q, a_rd, a_fifo_empty,
1604
    a_fill_level,
1605
    // b side
1606
    b_d, b_wr, b_fifo_full,
1607
    b_q, b_rd, b_fifo_empty,
1608
    b_fill_level,
1609
    // common
1610
    clk, rst
1611
    );
1612
parameter data_width = 8;
1613
parameter addr_width = 5;
1614
parameter fifo_full_level = (1<<addr_width)-1;
1615
// a side
1616
input  [data_width-1:0] a_d;
1617
input                   a_wr;
1618
output                  a_fifo_full;
1619
output [data_width-1:0] a_q;
1620
input                   a_rd;
1621
output                  a_fifo_empty;
1622
output [addr_width-1:0] a_fill_level;
1623
// b side
1624
input  [data_width-1:0] b_d;
1625
input                   b_wr;
1626
output                  b_fifo_full;
1627
output [data_width-1:0] b_q;
1628
input                   b_rd;
1629
output                  b_fifo_empty;
1630
output [addr_width-1:0] b_fill_level;
1631
input                   clk;
1632
input                   rst;
1633
// adr_gen
1634
wire [addr_width:1] a_wadr, a_radr;
1635
wire [addr_width:1] b_wadr, b_radr;
1636
// dpram
1637
wire [addr_width:0] a_dpram_adr, b_dpram_adr;
1638
vl_cnt_lfsr_ce
1639
    # ( .length(addr_width))
1640
    fifo_a_wr_adr( .cke(a_wr), .q(a_wadr), .rst(rst), .clk(clk));
1641
vl_cnt_lfsr_ce
1642
    # (.length(addr_width))
1643
    fifo_a_rd_adr( .cke(a_rd), .q(a_radr), .rst(rst), .clk(clk));
1644
vl_cnt_lfsr_ce
1645
    # ( .length(addr_width))
1646
    fifo_b_wr_adr( .cke(b_wr), .q(b_wadr), .rst(rst), .clk(clk));
1647
vl_cnt_lfsr_ce
1648
    # (.length(addr_width))
1649
    fifo_b_rd_adr( .cke(b_rd), .q(b_radr), .rst(rst), .clk(clk));
1650
// mux read or write adr to DPRAM
1651
assign a_dpram_adr = (a_wr) ? {1'b0,a_wadr} : {1'b1,a_radr};
1652
assign b_dpram_adr = (b_wr) ? {1'b1,b_wadr} : {1'b0,b_radr};
1653
vl_dpram_2r2w
1654
    # (.data_width(data_width), .addr_width(addr_width+1))
1655
    dpram ( .d_a(a_d), .q_a(a_q), .adr_a(a_dpram_adr), .we_a(a_wr), .clk_a(a_clk),
1656
            .d_b(b_d), .q_b(b_q), .adr_b(b_dpram_adr), .we_b(b_wr), .clk_b(b_clk));
1657
vl_cnt_bin_ce_rew_zq_l1
1658 28 unneback
    # (.length(addr_width), .level1_value(fifo_full_level))
1659 27 unneback
    a_fill_level_cnt( .cke(a_rd ^ a_wr), .rew(a_rd), .q(a_fill_level), .zq(a_fifo_empty), .level1(a_fifo_full), .rst(rst), .clk(clk));
1660
vl_cnt_bin_ce_rew_zq_l1
1661 28 unneback
    # (.length(addr_width), .level1_value(fifo_full_level))
1662 27 unneback
    b_fill_level_cnt( .cke(b_rd ^ b_wr), .rew(b_rd), .q(b_fill_level), .zq(b_fifo_empty), .level1(b_fifo_full), .rst(rst), .clk(clk));
1663
endmodule
1664 6 unneback
module vl_fifo_cmp_async ( wptr, rptr, fifo_empty, fifo_full, wclk, rclk, rst );
1665 11 unneback
   parameter addr_width = 4;
1666
   parameter N = addr_width-1;
1667 6 unneback
   parameter Q1 = 2'b00;
1668
   parameter Q2 = 2'b01;
1669
   parameter Q3 = 2'b11;
1670
   parameter Q4 = 2'b10;
1671
   parameter going_empty = 1'b0;
1672
   parameter going_full  = 1'b1;
1673
   input [N:0]  wptr, rptr;
1674 14 unneback
   output       fifo_empty;
1675 6 unneback
   output       fifo_full;
1676
   input        wclk, rclk, rst;
1677
   wire direction;
1678
   reg  direction_set, direction_clr;
1679
   wire async_empty, async_full;
1680
   wire fifo_full2;
1681 14 unneback
   wire fifo_empty2;
1682 6 unneback
   // direction_set
1683
   always @ (wptr[N:N-1] or rptr[N:N-1])
1684
     case ({wptr[N:N-1],rptr[N:N-1]})
1685
       {Q1,Q2} : direction_set <= 1'b1;
1686
       {Q2,Q3} : direction_set <= 1'b1;
1687
       {Q3,Q4} : direction_set <= 1'b1;
1688
       {Q4,Q1} : direction_set <= 1'b1;
1689
       default : direction_set <= 1'b0;
1690
     endcase
1691
   // direction_clear
1692
   always @ (wptr[N:N-1] or rptr[N:N-1] or rst)
1693
     if (rst)
1694
       direction_clr <= 1'b1;
1695
     else
1696
       case ({wptr[N:N-1],rptr[N:N-1]})
1697
         {Q2,Q1} : direction_clr <= 1'b1;
1698
         {Q3,Q2} : direction_clr <= 1'b1;
1699
         {Q4,Q3} : direction_clr <= 1'b1;
1700
         {Q1,Q4} : direction_clr <= 1'b1;
1701
         default : direction_clr <= 1'b0;
1702
       endcase
1703 18 unneback
    vl_dff_sr dff_sr_dir( .aclr(direction_clr), .aset(direction_set), .clock(1'b1), .data(1'b1), .q(direction));
1704 6 unneback
   assign async_empty = (wptr == rptr) && (direction==going_empty);
1705
   assign async_full  = (wptr == rptr) && (direction==going_full);
1706 18 unneback
    vl_dff_sr dff_sr_empty0( .aclr(rst), .aset(async_full), .clock(wclk), .data(async_full), .q(fifo_full2));
1707
    vl_dff_sr dff_sr_empty1( .aclr(rst), .aset(async_full), .clock(wclk), .data(fifo_full2), .q(fifo_full));
1708 6 unneback
/*
1709
   always @ (posedge wclk or posedge rst or posedge async_full)
1710
     if (rst)
1711
       {fifo_full, fifo_full2} <= 2'b00;
1712
     else if (async_full)
1713
       {fifo_full, fifo_full2} <= 2'b11;
1714
     else
1715
       {fifo_full, fifo_full2} <= {fifo_full2, async_full};
1716
*/
1717 14 unneback
/*   always @ (posedge rclk or posedge async_empty)
1718 6 unneback
     if (async_empty)
1719
       {fifo_empty, fifo_empty2} <= 2'b11;
1720
     else
1721 14 unneback
       {fifo_empty,fifo_empty2} <= {fifo_empty2,async_empty}; */
1722 18 unneback
    vl_dff # ( .reset_value(1'b1)) dff0 ( .d(async_empty), .q(fifo_empty2), .clk(rclk), .rst(async_empty));
1723
    vl_dff # ( .reset_value(1'b1)) dff1 ( .d(fifo_empty2), .q(fifo_empty),  .clk(rclk), .rst(async_empty));
1724 27 unneback
endmodule // async_compb
1725 6 unneback
module vl_fifo_1r1w_async (
1726
    d, wr, fifo_full, wr_clk, wr_rst,
1727
    q, rd, fifo_empty, rd_clk, rd_rst
1728
    );
1729
parameter data_width = 18;
1730
parameter addr_width = 4;
1731
// write side
1732
input  [data_width-1:0] d;
1733
input                   wr;
1734
output                  fifo_full;
1735
input                   wr_clk;
1736
input                   wr_rst;
1737
// read side
1738
output [data_width-1:0] q;
1739
input                   rd;
1740
output                  fifo_empty;
1741
input                   rd_clk;
1742
input                   rd_rst;
1743
wire [addr_width:1] wadr, wadr_bin, radr, radr_bin;
1744 18 unneback
vl_cnt_gray_ce_bin
1745 6 unneback
    # ( .length(addr_width))
1746
    fifo_wr_adr( .cke(wr), .q(wadr), .q_bin(wadr_bin), .rst(wr_rst), .clk(wr_clk));
1747 18 unneback
vl_cnt_gray_ce_bin
1748 6 unneback
    # (.length(addr_width))
1749 23 unneback
    fifo_rd_adr( .cke(rd), .q(radr), .q_bin(radr_bin), .rst(rd_rst), .clk(rd_clk));
1750 7 unneback
vl_dpram_1r1w
1751 6 unneback
    # (.data_width(data_width), .addr_width(addr_width))
1752
    dpram ( .d_a(d), .adr_a(wadr_bin), .we_a(wr), .clk_a(wr_clk), .q_b(q), .adr_b(radr_bin), .clk_b(rd_clk));
1753
vl_fifo_cmp_async
1754
    # (.addr_width(addr_width))
1755
    cmp ( .wptr(wadr), .rptr(radr), .fifo_empty(fifo_empty), .fifo_full(fifo_full), .wclk(wr_clk), .rclk(rd_clk), .rst(wr_rst) );
1756
endmodule
1757 8 unneback
module vl_fifo_2r2w_async (
1758 6 unneback
    // a side
1759
    a_d, a_wr, a_fifo_full,
1760
    a_q, a_rd, a_fifo_empty,
1761
    a_clk, a_rst,
1762
    // b side
1763
    b_d, b_wr, b_fifo_full,
1764
    b_q, b_rd, b_fifo_empty,
1765
    b_clk, b_rst
1766
    );
1767
parameter data_width = 18;
1768
parameter addr_width = 4;
1769
// a side
1770
input  [data_width-1:0] a_d;
1771
input                   a_wr;
1772
output                  a_fifo_full;
1773
output [data_width-1:0] a_q;
1774
input                   a_rd;
1775
output                  a_fifo_empty;
1776
input                   a_clk;
1777
input                   a_rst;
1778
// b side
1779
input  [data_width-1:0] b_d;
1780
input                   b_wr;
1781
output                  b_fifo_full;
1782
output [data_width-1:0] b_q;
1783
input                   b_rd;
1784
output                  b_fifo_empty;
1785
input                   b_clk;
1786
input                   b_rst;
1787
vl_fifo_1r1w_async # (.data_width(data_width), .addr_width(addr_width))
1788
vl_fifo_1r1w_async_a (
1789
    .d(a_d), .wr(a_wr), .fifo_full(a_fifo_full), .wr_clk(a_clk), .wr_rst(a_rst),
1790
    .q(b_q), .rd(b_rd), .fifo_empty(b_fifo_empty), .rd_clk(b_clk), .rd_rst(b_rst)
1791
    );
1792
vl_fifo_1r1w_async # (.data_width(data_width), .addr_width(addr_width))
1793
vl_fifo_1r1w_async_b (
1794
    .d(b_d), .wr(b_wr), .fifo_full(b_fifo_full), .wr_clk(b_clk), .wr_rst(b_rst),
1795
    .q(a_q), .rd(a_rd), .fifo_empty(a_fifo_empty), .rd_clk(a_clk), .rd_rst(a_rst)
1796
    );
1797
endmodule
1798 8 unneback
module vl_fifo_2r2w_async_simplex (
1799 6 unneback
    // a side
1800
    a_d, a_wr, a_fifo_full,
1801
    a_q, a_rd, a_fifo_empty,
1802
    a_clk, a_rst,
1803
    // b side
1804
    b_d, b_wr, b_fifo_full,
1805
    b_q, b_rd, b_fifo_empty,
1806
    b_clk, b_rst
1807
    );
1808
parameter data_width = 18;
1809
parameter addr_width = 4;
1810
// a side
1811
input  [data_width-1:0] a_d;
1812
input                   a_wr;
1813
output                  a_fifo_full;
1814
output [data_width-1:0] a_q;
1815
input                   a_rd;
1816
output                  a_fifo_empty;
1817
input                   a_clk;
1818
input                   a_rst;
1819
// b side
1820
input  [data_width-1:0] b_d;
1821
input                   b_wr;
1822
output                  b_fifo_full;
1823
output [data_width-1:0] b_q;
1824
input                   b_rd;
1825
output                  b_fifo_empty;
1826
input                   b_clk;
1827
input                   b_rst;
1828
// adr_gen
1829
wire [addr_width:1] a_wadr, a_wadr_bin, a_radr, a_radr_bin;
1830
wire [addr_width:1] b_wadr, b_wadr_bin, b_radr, b_radr_bin;
1831
// dpram
1832
wire [addr_width:0] a_dpram_adr, b_dpram_adr;
1833 18 unneback
vl_cnt_gray_ce_bin
1834 6 unneback
    # ( .length(addr_width))
1835
    fifo_a_wr_adr( .cke(a_wr), .q(a_wadr), .q_bin(a_wadr_bin), .rst(a_rst), .clk(a_clk));
1836 18 unneback
vl_cnt_gray_ce_bin
1837 6 unneback
    # (.length(addr_width))
1838
    fifo_a_rd_adr( .cke(a_rd), .q(a_radr), .q_bin(a_radr_bin), .rst(a_rst), .clk(a_clk));
1839 18 unneback
vl_cnt_gray_ce_bin
1840 6 unneback
    # ( .length(addr_width))
1841
    fifo_b_wr_adr( .cke(b_wr), .q(b_wadr), .q_bin(b_wadr_bin), .rst(b_rst), .clk(b_clk));
1842 18 unneback
vl_cnt_gray_ce_bin
1843 6 unneback
    # (.length(addr_width))
1844
    fifo_b_rd_adr( .cke(b_rd), .q(b_radr), .q_bin(b_radr_bin), .rst(b_rst), .clk(b_clk));
1845
// mux read or write adr to DPRAM
1846
assign a_dpram_adr = (a_wr) ? {1'b0,a_wadr_bin} : {1'b1,a_radr_bin};
1847
assign b_dpram_adr = (b_wr) ? {1'b1,b_wadr_bin} : {1'b0,b_radr_bin};
1848 11 unneback
vl_dpram_2r2w
1849 6 unneback
    # (.data_width(data_width), .addr_width(addr_width+1))
1850
    dpram ( .d_a(a_d), .q_a(a_q), .adr_a(a_dpram_adr), .we_a(a_wr), .clk_a(a_clk),
1851
            .d_b(b_d), .q_b(b_q), .adr_b(b_dpram_adr), .we_b(b_wr), .clk_b(b_clk));
1852 11 unneback
vl_fifo_cmp_async
1853 6 unneback
    # (.addr_width(addr_width))
1854
    cmp1 ( .wptr(a_wadr), .rptr(b_radr), .fifo_empty(b_fifo_empty), .fifo_full(a_fifo_full), .wclk(a_clk), .rclk(b_clk), .rst(a_rst) );
1855 11 unneback
vl_fifo_cmp_async
1856 6 unneback
    # (.addr_width(addr_width))
1857
    cmp2 ( .wptr(b_wadr), .rptr(a_radr), .fifo_empty(a_fifo_empty), .fifo_full(b_fifo_full), .wclk(b_clk), .rclk(a_clk), .rst(b_rst) );
1858
endmodule
1859 48 unneback
module vl_reg_file (
1860
    a1, a2, a3, wd3, we3, rd1, rd2, clk
1861
);
1862
parameter data_width = 32;
1863
parameter addr_width = 5;
1864
input [addr_width-1:0] a1, a2, a3;
1865
input [data_width-1:0] wd3;
1866
input we3;
1867
output [data_width-1:0] rd1, rd2;
1868
input clk;
1869
reg [data_width-1:0] wd3_reg;
1870
reg [addr_width-1:0] a1_reg, a2_reg, a3_reg;
1871
reg we3_reg;
1872
reg [data_width-1:0] ram1 [(1<<addr_width)-1:0] /*synthesis syn_ramstyle = "no_rw_check"*/;
1873
reg [data_width-1:0] ram2 [(1<<addr_width)-1:0] /*synthesis syn_ramstyle = "no_rw_check"*/;
1874
always @ (posedge clk or posedge rst)
1875
if (rst)
1876
    {wd3_reg, a3_reg, we3_reg} <= {(data_width+addr_width+1){1'b0}};
1877
else
1878
    {wd3_reg, a3_reg, we3_reg} <= {wd3,a3,wd3};
1879
    always @ (negedge clk)
1880
    if (we3_reg)
1881
        ram1[a3_reg] <= wd3;
1882
    always @ (posedge clk)
1883
        a1_reg <= a1;
1884
    assign rd1 = ram1[a1_reg];
1885
    always @ (negedge clk)
1886
    if (we3_reg)
1887
        ram2[a3_reg] <= wd3;
1888
    always @ (posedge clk)
1889
        a2_reg <= a2;
1890
    assign rd2 = ram2[a2_reg];
1891
endmodule
1892 12 unneback
//////////////////////////////////////////////////////////////////////
1893
////                                                              ////
1894
////  Versatile library, wishbone stuff                           ////
1895
////                                                              ////
1896
////  Description                                                 ////
1897
////  Wishbone compliant modules                                  ////
1898
////                                                              ////
1899
////                                                              ////
1900
////  To Do:                                                      ////
1901
////   -                                                          ////
1902
////                                                              ////
1903
////  Author(s):                                                  ////
1904
////      - Michael Unneback, unneback@opencores.org              ////
1905
////        ORSoC AB                                              ////
1906
////                                                              ////
1907
//////////////////////////////////////////////////////////////////////
1908
////                                                              ////
1909
//// Copyright (C) 2010 Authors and OPENCORES.ORG                 ////
1910
////                                                              ////
1911
//// This source file may be used and distributed without         ////
1912
//// restriction provided that this copyright statement is not    ////
1913
//// removed from the file and that any derivative work contains  ////
1914
//// the original copyright notice and the associated disclaimer. ////
1915
////                                                              ////
1916
//// This source file is free software; you can redistribute it   ////
1917
//// and/or modify it under the terms of the GNU Lesser General   ////
1918
//// Public License as published by the Free Software Foundation; ////
1919
//// either version 2.1 of the License, or (at your option) any   ////
1920
//// later version.                                               ////
1921
////                                                              ////
1922
//// This source is distributed in the hope that it will be       ////
1923
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
1924
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
1925
//// PURPOSE.  See the GNU Lesser General Public License for more ////
1926
//// details.                                                     ////
1927
////                                                              ////
1928
//// You should have received a copy of the GNU Lesser General    ////
1929
//// Public License along with this source; if not, download it   ////
1930
//// from http://www.opencores.org/lgpl.shtml                     ////
1931
////                                                              ////
1932
//////////////////////////////////////////////////////////////////////
1933
// async wb3 - wb3 bridge
1934
`timescale 1ns/1ns
1935 18 unneback
module vl_wb3wb3_bridge (
1936 12 unneback
        // wishbone slave side
1937
        wbs_dat_i, wbs_adr_i, wbs_sel_i, wbs_bte_i, wbs_cti_i, wbs_we_i, wbs_cyc_i, wbs_stb_i, wbs_dat_o, wbs_ack_o, wbs_clk, wbs_rst,
1938
        // wishbone master side
1939
        wbm_dat_o, wbm_adr_o, wbm_sel_o, wbm_bte_o, wbm_cti_o, wbm_we_o, wbm_cyc_o, wbm_stb_o, wbm_dat_i, wbm_ack_i, wbm_clk, wbm_rst);
1940
input [31:0] wbs_dat_i;
1941
input [31:2] wbs_adr_i;
1942
input [3:0]  wbs_sel_i;
1943
input [1:0]  wbs_bte_i;
1944
input [2:0]  wbs_cti_i;
1945
input wbs_we_i, wbs_cyc_i, wbs_stb_i;
1946
output [31:0] wbs_dat_o;
1947 14 unneback
output wbs_ack_o;
1948 12 unneback
input wbs_clk, wbs_rst;
1949
output [31:0] wbm_dat_o;
1950
output reg [31:2] wbm_adr_o;
1951
output [3:0]  wbm_sel_o;
1952
output reg [1:0]  wbm_bte_o;
1953
output reg [2:0]  wbm_cti_o;
1954 14 unneback
output reg wbm_we_o;
1955
output wbm_cyc_o;
1956 12 unneback
output wbm_stb_o;
1957
input [31:0]  wbm_dat_i;
1958
input wbm_ack_i;
1959
input wbm_clk, wbm_rst;
1960
parameter addr_width = 4;
1961
// bte
1962
parameter linear       = 2'b00;
1963
parameter wrap4        = 2'b01;
1964
parameter wrap8        = 2'b10;
1965
parameter wrap16       = 2'b11;
1966
// cti
1967
parameter classic      = 3'b000;
1968
parameter incburst     = 3'b010;
1969
parameter endofburst   = 3'b111;
1970
parameter wbs_adr  = 1'b0;
1971
parameter wbs_data = 1'b1;
1972 33 unneback
parameter wbm_adr0      = 2'b00;
1973
parameter wbm_adr1      = 2'b01;
1974
parameter wbm_data      = 2'b10;
1975
parameter wbm_data_wait = 2'b11;
1976 12 unneback
reg [1:0] wbs_bte_reg;
1977
reg wbs;
1978
wire wbs_eoc_alert, wbm_eoc_alert;
1979
reg wbs_eoc, wbm_eoc;
1980
reg [1:0] wbm;
1981 14 unneback
wire [1:16] wbs_count, wbm_count;
1982 12 unneback
wire [35:0] a_d, a_q, b_d, b_q;
1983
wire a_wr, a_rd, a_fifo_full, a_fifo_empty, b_wr, b_rd, b_fifo_full, b_fifo_empty;
1984
reg a_rd_reg;
1985
wire b_rd_adr, b_rd_data;
1986 14 unneback
wire b_rd_data_reg;
1987
wire [35:0] temp;
1988 12 unneback
assign wbs_eoc_alert = (wbs_bte_reg==wrap4 & wbs_count[3]) | (wbs_bte_reg==wrap8 & wbs_count[7]) | (wbs_bte_reg==wrap16 & wbs_count[15]);
1989
always @ (posedge wbs_clk or posedge wbs_rst)
1990
if (wbs_rst)
1991
        wbs_eoc <= 1'b0;
1992
else
1993
        if (wbs==wbs_adr & wbs_stb_i & !a_fifo_full)
1994 78 unneback
                wbs_eoc <= (wbs_bte_i==linear) | (wbs_cti_i==3'b111);
1995 12 unneback
        else if (wbs_eoc_alert & (a_rd | a_wr))
1996
                wbs_eoc <= 1'b1;
1997 18 unneback
vl_cnt_shreg_ce_clear # ( .length(16))
1998 12 unneback
    cnt0 (
1999
        .cke(wbs_ack_o),
2000
        .clear(wbs_eoc),
2001
        .q(wbs_count),
2002
        .rst(wbs_rst),
2003
        .clk(wbs_clk));
2004
always @ (posedge wbs_clk or posedge wbs_rst)
2005
if (wbs_rst)
2006
        wbs <= wbs_adr;
2007
else
2008 75 unneback
        if ((wbs==wbs_adr) & wbs_cyc_i & wbs_stb_i & a_fifo_empty)
2009 12 unneback
                wbs <= wbs_data;
2010
        else if (wbs_eoc & wbs_ack_o)
2011
                wbs <= wbs_adr;
2012
// wbs FIFO
2013 75 unneback
assign a_d = (wbs==wbs_adr) ? {wbs_adr_i[31:2],wbs_we_i,((wbs_cti_i==3'b111) ? {2'b00,3'b000} : {wbs_bte_i,wbs_cti_i})} : {wbs_dat_i,wbs_sel_i};
2014
assign a_wr = (wbs==wbs_adr)  ? wbs_cyc_i & wbs_stb_i & a_fifo_empty :
2015 12 unneback
              (wbs==wbs_data) ? wbs_we_i  & wbs_stb_i & !a_fifo_full :
2016
              1'b0;
2017
assign a_rd = !a_fifo_empty;
2018
always @ (posedge wbs_clk or posedge wbs_rst)
2019
if (wbs_rst)
2020
        a_rd_reg <= 1'b0;
2021
else
2022
        a_rd_reg <= a_rd;
2023
assign wbs_ack_o = a_rd_reg | (a_wr & wbs==wbs_data);
2024
assign wbs_dat_o = a_q[35:4];
2025
always @ (posedge wbs_clk or posedge wbs_rst)
2026
if (wbs_rst)
2027 13 unneback
        wbs_bte_reg <= 2'b00;
2028 12 unneback
else
2029 13 unneback
        wbs_bte_reg <= wbs_bte_i;
2030 12 unneback
// wbm FIFO
2031
assign wbm_eoc_alert = (wbm_bte_o==wrap4 & wbm_count[3]) | (wbm_bte_o==wrap8 & wbm_count[7]) | (wbm_bte_o==wrap16 & wbm_count[15]);
2032
always @ (posedge wbm_clk or posedge wbm_rst)
2033
if (wbm_rst)
2034
        wbm_eoc <= 1'b0;
2035
else
2036
        if (wbm==wbm_adr0 & !b_fifo_empty)
2037
                wbm_eoc <= b_q[4:3] == linear;
2038
        else if (wbm_eoc_alert & wbm_ack_i)
2039
                wbm_eoc <= 1'b1;
2040
always @ (posedge wbm_clk or posedge wbm_rst)
2041
if (wbm_rst)
2042
        wbm <= wbm_adr0;
2043
else
2044 33 unneback
/*
2045 12 unneback
    if ((wbm==wbm_adr0 & !b_fifo_empty) |
2046
        (wbm==wbm_adr1 & !b_fifo_empty & wbm_we_o) |
2047
        (wbm==wbm_adr1 & !wbm_we_o) |
2048
        (wbm==wbm_data & wbm_ack_i & wbm_eoc))
2049
        wbm <= {wbm[0],!(wbm[1] ^ wbm[0])};  // count sequence 00,01,10
2050 33 unneback
*/
2051
    case (wbm)
2052
    wbm_adr0:
2053
        if (!b_fifo_empty)
2054
            wbm <= wbm_adr1;
2055
    wbm_adr1:
2056
        if (!wbm_we_o | (!b_fifo_empty & wbm_we_o))
2057
            wbm <= wbm_data;
2058
    wbm_data:
2059
        if (wbm_ack_i & wbm_eoc)
2060
            wbm <= wbm_adr0;
2061
        else if (b_fifo_empty & wbm_we_o & wbm_ack_i)
2062
            wbm <= wbm_data_wait;
2063
    wbm_data_wait:
2064
        if (!b_fifo_empty)
2065
            wbm <= wbm_data;
2066
    endcase
2067 12 unneback
assign b_d = {wbm_dat_i,4'b1111};
2068
assign b_wr = !wbm_we_o & wbm_ack_i;
2069
assign b_rd_adr  = (wbm==wbm_adr0 & !b_fifo_empty);
2070
assign b_rd_data = (wbm==wbm_adr1 & !b_fifo_empty & wbm_we_o) ? 1'b1 : // b_q[`WE]
2071
                   (wbm==wbm_data & !b_fifo_empty & wbm_we_o & wbm_ack_i & !wbm_eoc) ? 1'b1 :
2072 33 unneback
                   (wbm==wbm_data_wait & !b_fifo_empty) ? 1'b1 :
2073 12 unneback
                   1'b0;
2074
assign b_rd = b_rd_adr | b_rd_data;
2075 18 unneback
vl_dff dff1 ( .d(b_rd_data), .q(b_rd_data_reg), .clk(wbm_clk), .rst(wbm_rst));
2076
vl_dff_ce # ( .width(36)) dff2 ( .d(b_q), .ce(b_rd_data_reg), .q(temp), .clk(wbm_clk), .rst(wbm_rst));
2077 12 unneback
assign {wbm_dat_o,wbm_sel_o} = (b_rd_data_reg) ? b_q : temp;
2078 18 unneback
vl_cnt_shreg_ce_clear # ( .length(16))
2079 12 unneback
    cnt1 (
2080
        .cke(wbm_ack_i),
2081
        .clear(wbm_eoc),
2082
        .q(wbm_count),
2083
        .rst(wbm_rst),
2084
        .clk(wbm_clk));
2085 33 unneback
assign wbm_cyc_o = (wbm==wbm_data | wbm==wbm_data_wait);
2086
assign wbm_stb_o = (wbm==wbm_data);
2087 12 unneback
always @ (posedge wbm_clk or posedge wbm_rst)
2088
if (wbm_rst)
2089
        {wbm_adr_o,wbm_we_o,wbm_bte_o,wbm_cti_o} <= {30'h0,1'b0,linear,classic};
2090
else begin
2091
        if (wbm==wbm_adr0 & !b_fifo_empty)
2092
                {wbm_adr_o,wbm_we_o,wbm_bte_o,wbm_cti_o} <= b_q;
2093
        else if (wbm_eoc_alert & wbm_ack_i)
2094
                wbm_cti_o <= endofburst;
2095
end
2096
//async_fifo_dw_simplex_top
2097
vl_fifo_2r2w_async_simplex
2098
# ( .data_width(36), .addr_width(addr_width))
2099
fifo (
2100
    // a side
2101
    .a_d(a_d),
2102
    .a_wr(a_wr),
2103
    .a_fifo_full(a_fifo_full),
2104
    .a_q(a_q),
2105
    .a_rd(a_rd),
2106
    .a_fifo_empty(a_fifo_empty),
2107
    .a_clk(wbs_clk),
2108
    .a_rst(wbs_rst),
2109
    // b side
2110
    .b_d(b_d),
2111
    .b_wr(b_wr),
2112
    .b_fifo_full(b_fifo_full),
2113
    .b_q(b_q),
2114
    .b_rd(b_rd),
2115
    .b_fifo_empty(b_fifo_empty),
2116
    .b_clk(wbm_clk),
2117
    .b_rst(wbm_rst)
2118
    );
2119
endmodule
2120 75 unneback
module vl_wb3avalon_bridge (
2121
        // wishbone slave side
2122
        wbs_dat_i, wbs_adr_i, wbs_sel_i, wbs_bte_i, wbs_cti_i, wbs_we_i, wbs_cyc_i, wbs_stb_i, wbs_dat_o, wbs_ack_o, wbs_clk, wbs_rst,
2123 77 unneback
        // avalon master side
2124 75 unneback
        readdata, readdatavalid, address, read, be, write, burstcount, writedata, waitrequest, beginbursttransfer, clk, rst);
2125
input [31:0] wbs_dat_i;
2126
input [31:2] wbs_adr_i;
2127
input [3:0]  wbs_sel_i;
2128
input [1:0]  wbs_bte_i;
2129
input [2:0]  wbs_cti_i;
2130
input wbs_we_i, wbs_cyc_i, wbs_stb_i;
2131
output [31:0] wbs_dat_o;
2132
output wbs_ack_o;
2133
input wbs_clk, wbs_rst;
2134
input [31:0] readdata;
2135
output [31:0] writedata;
2136
output [31:2] address;
2137
output [3:0]  be;
2138
output write;
2139 81 unneback
output read;
2140 75 unneback
output beginbursttransfer;
2141
output [3:0] burstcount;
2142
input readdatavalid;
2143
input waitrequest;
2144
input clk;
2145
input rst;
2146
wire [1:0] wbm_bte_o;
2147
wire [2:0] wbm_cti_o;
2148
wire wbm_we_o, wbm_cyc_o, wbm_stb_o, wbm_ack_i;
2149
reg last_cyc;
2150 79 unneback
reg [3:0] counter;
2151 82 unneback
reg read_busy;
2152 75 unneback
always @ (posedge clk or posedge rst)
2153
if (rst)
2154
    last_cyc <= 1'b0;
2155
else
2156
    last_cyc <= wbm_cyc_o;
2157 79 unneback
always @ (posedge clk or posedge rst)
2158
if (rst)
2159 82 unneback
    read_busy <= 1'b0;
2160 79 unneback
else
2161 82 unneback
    if (read & !waitrequest)
2162
        read_busy <= 1'b1;
2163
    else if (wbm_ack_i & wbm_cti_o!=3'b010)
2164
        read_busy <= 1'b0;
2165
assign read = wbm_cyc_o & wbm_stb_o & !wbm_we_o & !read_busy;
2166 75 unneback
assign beginbursttransfer = (!last_cyc & wbm_cyc_o) & wbm_cti_o==3'b010;
2167
assign burstcount = (wbm_bte_o==2'b01) ? 4'd4 :
2168
                    (wbm_bte_o==2'b10) ? 4'd8 :
2169 78 unneback
                    (wbm_bte_o==2'b11) ? 4'd16:
2170
                    4'd1;
2171 82 unneback
assign wbm_ack_i = (readdatavalid) | (write & !waitrequest);
2172 79 unneback
always @ (posedge clk or posedge rst)
2173
if (rst) begin
2174
    counter <= 4'd0;
2175
end else
2176 80 unneback
    if (wbm_we_o) begin
2177
        if (!waitrequest & !last_cyc & wbm_cyc_o) begin
2178
            counter <= burstcount -1;
2179
        end else if (waitrequest & !last_cyc & wbm_cyc_o) begin
2180
            counter <= burstcount;
2181
        end else if (!waitrequest & wbm_stb_o) begin
2182
            counter <= counter - 4'd1;
2183
        end
2184 82 unneback
    end
2185 81 unneback
assign write = wbm_cyc_o & wbm_stb_o & wbm_we_o & counter!=4'd0;
2186 77 unneback
vl_wb3wb3_bridge wbwb3inst (
2187 75 unneback
    // wishbone slave side
2188
    .wbs_dat_i(wbs_dat_i),
2189
    .wbs_adr_i(wbs_adr_i),
2190
    .wbs_sel_i(wbs_sel_i),
2191
    .wbs_bte_i(wbs_bte_i),
2192
    .wbs_cti_i(wbs_cti_i),
2193
    .wbs_we_i(wbs_we_i),
2194
    .wbs_cyc_i(wbs_cyc_i),
2195
    .wbs_stb_i(wbs_stb_i),
2196
    .wbs_dat_o(wbs_dat_o),
2197
    .wbs_ack_o(wbs_ack_o),
2198
    .wbs_clk(wbs_clk),
2199
    .wbs_rst(wbs_rst),
2200
    // wishbone master side
2201
    .wbm_dat_o(writedata),
2202 78 unneback
    .wbm_adr_o(address),
2203 75 unneback
    .wbm_sel_o(be),
2204
    .wbm_bte_o(wbm_bte_o),
2205
    .wbm_cti_o(wbm_cti_o),
2206
    .wbm_we_o(wbm_we_o),
2207
    .wbm_cyc_o(wbm_cyc_o),
2208
    .wbm_stb_o(wbm_stb_o),
2209
    .wbm_dat_i(readdata),
2210
    .wbm_ack_i(wbm_ack_i),
2211
    .wbm_clk(clk),
2212
    .wbm_rst(rst));
2213
endmodule
2214 39 unneback
module vl_wb3_arbiter_type1 (
2215
    wbm_dat_o, wbm_adr_o, wbm_sel_o, wbm_cti_o, wbm_bte_o, wbm_we_o, wbm_stb_o, wbm_cyc_o,
2216
    wbm_dat_i, wbm_ack_i, wbm_err_i, wbm_rty_i,
2217
    wbs_dat_i, wbs_adr_i, wbs_sel_i, wbs_cti_i, wbs_bte_i, wbs_we_i, wbs_stb_i, wbs_cyc_i,
2218
    wbs_dat_o, wbs_ack_o, wbs_err_o, wbs_rty_o,
2219
    wb_clk, wb_rst
2220
);
2221
parameter nr_of_ports = 3;
2222
parameter adr_size = 26;
2223
parameter adr_lo   = 2;
2224
parameter dat_size = 32;
2225
parameter sel_size = dat_size/8;
2226
localparam aw = (adr_size - adr_lo) * nr_of_ports;
2227
localparam dw = dat_size * nr_of_ports;
2228
localparam sw = sel_size * nr_of_ports;
2229
localparam cw = 3 * nr_of_ports;
2230
localparam bw = 2 * nr_of_ports;
2231
input  [dw-1:0] wbm_dat_o;
2232
input  [aw-1:0] wbm_adr_o;
2233
input  [sw-1:0] wbm_sel_o;
2234
input  [cw-1:0] wbm_cti_o;
2235
input  [bw-1:0] wbm_bte_o;
2236
input  [nr_of_ports-1:0] wbm_we_o, wbm_stb_o, wbm_cyc_o;
2237
output [dw-1:0] wbm_dat_i;
2238
output [nr_of_ports-1:0] wbm_ack_i, wbm_err_i, wbm_rty_i;
2239
output [dat_size-1:0] wbs_dat_i;
2240
output [adr_size-1:adr_lo] wbs_adr_i;
2241
output [sel_size-1:0] wbs_sel_i;
2242
output [2:0] wbs_cti_i;
2243
output [1:0] wbs_bte_i;
2244
output wbs_we_i, wbs_stb_i, wbs_cyc_i;
2245
input  [dat_size-1:0] wbs_dat_o;
2246
input  wbs_ack_o, wbs_err_o, wbs_rty_o;
2247
input wb_clk, wb_rst;
2248 44 unneback
reg  [nr_of_ports-1:0] select;
2249 39 unneback
wire [nr_of_ports-1:0] state;
2250
wire [nr_of_ports-1:0] eoc; // end-of-cycle
2251
wire [nr_of_ports-1:0] sel;
2252
wire idle;
2253
genvar i;
2254
assign idle = !(|state);
2255
generate
2256
if (nr_of_ports == 2) begin
2257
    wire [2:0] wbm1_cti_o, wbm0_cti_o;
2258
    assign {wbm1_cti_o,wbm0_cti_o} = wbm_cti_o;
2259 44 unneback
    //assign select = (idle) ? {wbm_cyc_o[1],!wbm_cyc_o[1] & wbm_cyc_o[0]} : {nr_of_ports{1'b0}};
2260
    always @ (idle or wbm_cyc_o)
2261
    if (idle)
2262
        casex (wbm_cyc_o)
2263
        2'b1x : select = 2'b10;
2264
        2'b01 : select = 2'b01;
2265
        default : select = {nr_of_ports{1'b0}};
2266
        endcase
2267
    else
2268
        select = {nr_of_ports{1'b0}};
2269 39 unneback
    assign eoc[1] = (wbm_ack_i[1] & (wbm1_cti_o == 3'b000 | wbm1_cti_o == 3'b111)) | !wbm_cyc_o[1];
2270
    assign eoc[0] = (wbm_ack_i[0] & (wbm0_cti_o == 3'b000 | wbm0_cti_o == 3'b111)) | !wbm_cyc_o[0];
2271
end
2272
endgenerate
2273
generate
2274
if (nr_of_ports == 3) begin
2275
    wire [2:0] wbm2_cti_o, wbm1_cti_o, wbm0_cti_o;
2276
    assign {wbm2_cti_o,wbm1_cti_o,wbm0_cti_o} = wbm_cti_o;
2277 44 unneback
    always @ (idle or wbm_cyc_o)
2278
    if (idle)
2279
        casex (wbm_cyc_o)
2280
        3'b1xx : select = 3'b100;
2281
        3'b01x : select = 3'b010;
2282
        3'b001 : select = 3'b001;
2283
        default : select = {nr_of_ports{1'b0}};
2284
        endcase
2285
    else
2286
        select = {nr_of_ports{1'b0}};
2287
//    assign select = (idle) ? {wbm_cyc_o[2],!wbm_cyc_o[2] & wbm_cyc_o[1],wbm_cyc_o[2:1]==2'b00 & wbm_cyc_o[0]} : {nr_of_ports{1'b0}};
2288 39 unneback
    assign eoc[2] = (wbm_ack_i[2] & (wbm2_cti_o == 3'b000 | wbm2_cti_o == 3'b111)) | !wbm_cyc_o[2];
2289
    assign eoc[1] = (wbm_ack_i[1] & (wbm1_cti_o == 3'b000 | wbm1_cti_o == 3'b111)) | !wbm_cyc_o[1];
2290
    assign eoc[0] = (wbm_ack_i[0] & (wbm0_cti_o == 3'b000 | wbm0_cti_o == 3'b111)) | !wbm_cyc_o[0];
2291
end
2292
endgenerate
2293
generate
2294 44 unneback
if (nr_of_ports == 4) begin
2295
    wire [2:0] wbm3_cti_o, wbm2_cti_o, wbm1_cti_o, wbm0_cti_o;
2296
    assign {wbm3_cti_o, wbm2_cti_o,wbm1_cti_o,wbm0_cti_o} = wbm_cti_o;
2297
    //assign select = (idle) ? {wbm_cyc_o[3],!wbm_cyc_o[3] & wbm_cyc_o[2],wbm_cyc_o[3:2]==2'b00 & wbm_cyc_o[1],wbm_cyc_o[3:1]==3'b000 & wbm_cyc_o[0]} : {nr_of_ports{1'b0}};
2298
    always @ (idle or wbm_cyc_o)
2299
    if (idle)
2300
        casex (wbm_cyc_o)
2301
        4'b1xxx : select = 4'b1000;
2302
        4'b01xx : select = 4'b0100;
2303
        4'b001x : select = 4'b0010;
2304
        4'b0001 : select = 4'b0001;
2305
        default : select = {nr_of_ports{1'b0}};
2306
        endcase
2307
    else
2308
        select = {nr_of_ports{1'b0}};
2309
    assign eoc[3] = (wbm_ack_i[3] & (wbm3_cti_o == 3'b000 | wbm3_cti_o == 3'b111)) | !wbm_cyc_o[3];
2310
    assign eoc[2] = (wbm_ack_i[2] & (wbm2_cti_o == 3'b000 | wbm2_cti_o == 3'b111)) | !wbm_cyc_o[2];
2311
    assign eoc[1] = (wbm_ack_i[1] & (wbm1_cti_o == 3'b000 | wbm1_cti_o == 3'b111)) | !wbm_cyc_o[1];
2312
    assign eoc[0] = (wbm_ack_i[0] & (wbm0_cti_o == 3'b000 | wbm0_cti_o == 3'b111)) | !wbm_cyc_o[0];
2313
end
2314
endgenerate
2315
generate
2316
if (nr_of_ports == 5) begin
2317
    wire [2:0] wbm4_cti_o, wbm3_cti_o, wbm2_cti_o, wbm1_cti_o, wbm0_cti_o;
2318
    assign {wbm4_cti_o, wbm3_cti_o, wbm2_cti_o,wbm1_cti_o,wbm0_cti_o} = wbm_cti_o;
2319
    //assign select = (idle) ? {wbm_cyc_o[3],!wbm_cyc_o[3] & wbm_cyc_o[2],wbm_cyc_o[3:2]==2'b00 & wbm_cyc_o[1],wbm_cyc_o[3:1]==3'b000 & wbm_cyc_o[0]} : {nr_of_ports{1'b0}};
2320
    always @ (idle or wbm_cyc_o)
2321
    if (idle)
2322
        casex (wbm_cyc_o)
2323
        5'b1xxxx : select = 5'b10000;
2324
        5'b01xxx : select = 5'b01000;
2325
        5'b001xx : select = 5'b00100;
2326
        5'b0001x : select = 5'b00010;
2327
        5'b00001 : select = 5'b00001;
2328
        default : select = {nr_of_ports{1'b0}};
2329
        endcase
2330
    else
2331
        select = {nr_of_ports{1'b0}};
2332
    assign eoc[4] = (wbm_ack_i[4] & (wbm4_cti_o == 3'b000 | wbm4_cti_o == 3'b111)) | !wbm_cyc_o[4];
2333
    assign eoc[3] = (wbm_ack_i[3] & (wbm3_cti_o == 3'b000 | wbm3_cti_o == 3'b111)) | !wbm_cyc_o[3];
2334
    assign eoc[2] = (wbm_ack_i[2] & (wbm2_cti_o == 3'b000 | wbm2_cti_o == 3'b111)) | !wbm_cyc_o[2];
2335
    assign eoc[1] = (wbm_ack_i[1] & (wbm1_cti_o == 3'b000 | wbm1_cti_o == 3'b111)) | !wbm_cyc_o[1];
2336
    assign eoc[0] = (wbm_ack_i[0] & (wbm0_cti_o == 3'b000 | wbm0_cti_o == 3'b111)) | !wbm_cyc_o[0];
2337
end
2338
endgenerate
2339
generate
2340 67 unneback
if (nr_of_ports == 6) begin
2341
    wire [2:0] wbm5_cti_o, wbm4_cti_o, wbm3_cti_o, wbm2_cti_o, wbm1_cti_o, wbm0_cti_o;
2342
    assign {wbm5_cti_o, wbm4_cti_o, wbm3_cti_o, wbm2_cti_o,wbm1_cti_o,wbm0_cti_o} = wbm_cti_o;
2343
    //assign select = (idle) ? {wbm_cyc_o[3],!wbm_cyc_o[3] & wbm_cyc_o[2],wbm_cyc_o[3:2]==2'b00 & wbm_cyc_o[1],wbm_cyc_o[3:1]==3'b000 & wbm_cyc_o[0]} : {nr_of_ports{1'b0}};
2344
    always @ (idle or wbm_cyc_o)
2345
    if (idle)
2346
        casex (wbm_cyc_o)
2347
        6'b1xxxxx : select = 6'b100000;
2348
        6'b01xxxx : select = 6'b010000;
2349
        6'b001xxx : select = 6'b001000;
2350
        6'b0001xx : select = 6'b000100;
2351
        6'b00001x : select = 6'b000010;
2352
        6'b000001 : select = 6'b000001;
2353
        default : select = {nr_of_ports{1'b0}};
2354
        endcase
2355
    else
2356
        select = {nr_of_ports{1'b0}};
2357
    assign eoc[5] = (wbm_ack_i[5] & (wbm5_cti_o == 3'b000 | wbm5_cti_o == 3'b111)) | !wbm_cyc_o[5];
2358
    assign eoc[4] = (wbm_ack_i[4] & (wbm4_cti_o == 3'b000 | wbm4_cti_o == 3'b111)) | !wbm_cyc_o[4];
2359
    assign eoc[3] = (wbm_ack_i[3] & (wbm3_cti_o == 3'b000 | wbm3_cti_o == 3'b111)) | !wbm_cyc_o[3];
2360
    assign eoc[2] = (wbm_ack_i[2] & (wbm2_cti_o == 3'b000 | wbm2_cti_o == 3'b111)) | !wbm_cyc_o[2];
2361
    assign eoc[1] = (wbm_ack_i[1] & (wbm1_cti_o == 3'b000 | wbm1_cti_o == 3'b111)) | !wbm_cyc_o[1];
2362
    assign eoc[0] = (wbm_ack_i[0] & (wbm0_cti_o == 3'b000 | wbm0_cti_o == 3'b111)) | !wbm_cyc_o[0];
2363
end
2364
endgenerate
2365
generate
2366
if (nr_of_ports == 7) begin
2367
    wire [2:0] wbm6_cti_o, wbm5_cti_o, wbm4_cti_o, wbm3_cti_o, wbm2_cti_o, wbm1_cti_o, wbm0_cti_o;
2368
    assign {wbm6_cti_o, wbm5_cti_o, wbm4_cti_o, wbm3_cti_o, wbm2_cti_o,wbm1_cti_o,wbm0_cti_o} = wbm_cti_o;
2369
    //assign select = (idle) ? {wbm_cyc_o[3],!wbm_cyc_o[3] & wbm_cyc_o[2],wbm_cyc_o[3:2]==2'b00 & wbm_cyc_o[1],wbm_cyc_o[3:1]==3'b000 & wbm_cyc_o[0]} : {nr_of_ports{1'b0}};
2370
    always @ (idle or wbm_cyc_o)
2371
    if (idle)
2372
        casex (wbm_cyc_o)
2373
        7'b1xxxxxx : select = 7'b1000000;
2374
        7'b01xxxxx : select = 7'b0100000;
2375
        7'b001xxxx : select = 7'b0010000;
2376
        7'b0001xxx : select = 7'b0001000;
2377
        7'b00001xx : select = 7'b0000100;
2378
        7'b000001x : select = 7'b0000010;
2379
        7'b0000001 : select = 7'b0000001;
2380
        default : select = {nr_of_ports{1'b0}};
2381
        endcase
2382
    else
2383
        select = {nr_of_ports{1'b0}};
2384
    assign eoc[6] = (wbm_ack_i[6] & (wbm6_cti_o == 3'b000 | wbm6_cti_o == 3'b111)) | !wbm_cyc_o[6];
2385
    assign eoc[5] = (wbm_ack_i[5] & (wbm5_cti_o == 3'b000 | wbm5_cti_o == 3'b111)) | !wbm_cyc_o[5];
2386
    assign eoc[4] = (wbm_ack_i[4] & (wbm4_cti_o == 3'b000 | wbm4_cti_o == 3'b111)) | !wbm_cyc_o[4];
2387
    assign eoc[3] = (wbm_ack_i[3] & (wbm3_cti_o == 3'b000 | wbm3_cti_o == 3'b111)) | !wbm_cyc_o[3];
2388
    assign eoc[2] = (wbm_ack_i[2] & (wbm2_cti_o == 3'b000 | wbm2_cti_o == 3'b111)) | !wbm_cyc_o[2];
2389
    assign eoc[1] = (wbm_ack_i[1] & (wbm1_cti_o == 3'b000 | wbm1_cti_o == 3'b111)) | !wbm_cyc_o[1];
2390
    assign eoc[0] = (wbm_ack_i[0] & (wbm0_cti_o == 3'b000 | wbm0_cti_o == 3'b111)) | !wbm_cyc_o[0];
2391
end
2392
endgenerate
2393
generate
2394
if (nr_of_ports == 8) begin
2395
    wire [2:0] wbm7_cti_o, wbm6_cti_o, wbm5_cti_o, wbm4_cti_o, wbm3_cti_o, wbm2_cti_o, wbm1_cti_o, wbm0_cti_o;
2396
    assign {wbm7_cti_o, wbm6_cti_o, wbm5_cti_o, wbm4_cti_o, wbm3_cti_o, wbm2_cti_o,wbm1_cti_o,wbm0_cti_o} = wbm_cti_o;
2397
    //assign select = (idle) ? {wbm_cyc_o[3],!wbm_cyc_o[3] & wbm_cyc_o[2],wbm_cyc_o[3:2]==2'b00 & wbm_cyc_o[1],wbm_cyc_o[3:1]==3'b000 & wbm_cyc_o[0]} : {nr_of_ports{1'b0}};
2398
    always @ (idle or wbm_cyc_o)
2399
    if (idle)
2400
        casex (wbm_cyc_o)
2401
        8'b1xxxxxxx : select = 8'b10000000;
2402
        8'b01xxxxxx : select = 8'b01000000;
2403
        8'b001xxxxx : select = 8'b00100000;
2404
        8'b0001xxxx : select = 8'b00010000;
2405
        8'b00001xxx : select = 8'b00001000;
2406
        8'b000001xx : select = 8'b00000100;
2407
        8'b0000001x : select = 8'b00000010;
2408
        8'b00000001 : select = 8'b00000001;
2409
        default : select = {nr_of_ports{1'b0}};
2410
        endcase
2411
    else
2412
        select = {nr_of_ports{1'b0}};
2413
    assign eoc[7] = (wbm_ack_i[7] & (wbm7_cti_o == 3'b000 | wbm7_cti_o == 3'b111)) | !wbm_cyc_o[7];
2414
    assign eoc[6] = (wbm_ack_i[6] & (wbm6_cti_o == 3'b000 | wbm6_cti_o == 3'b111)) | !wbm_cyc_o[6];
2415
    assign eoc[5] = (wbm_ack_i[5] & (wbm5_cti_o == 3'b000 | wbm5_cti_o == 3'b111)) | !wbm_cyc_o[5];
2416
    assign eoc[4] = (wbm_ack_i[4] & (wbm4_cti_o == 3'b000 | wbm4_cti_o == 3'b111)) | !wbm_cyc_o[4];
2417
    assign eoc[3] = (wbm_ack_i[3] & (wbm3_cti_o == 3'b000 | wbm3_cti_o == 3'b111)) | !wbm_cyc_o[3];
2418
    assign eoc[2] = (wbm_ack_i[2] & (wbm2_cti_o == 3'b000 | wbm2_cti_o == 3'b111)) | !wbm_cyc_o[2];
2419
    assign eoc[1] = (wbm_ack_i[1] & (wbm1_cti_o == 3'b000 | wbm1_cti_o == 3'b111)) | !wbm_cyc_o[1];
2420
    assign eoc[0] = (wbm_ack_i[0] & (wbm0_cti_o == 3'b000 | wbm0_cti_o == 3'b111)) | !wbm_cyc_o[0];
2421
end
2422
endgenerate
2423
generate
2424 63 unneback
for (i=0;i<nr_of_ports;i=i+1) begin : spr0
2425 39 unneback
    vl_spr sr0( .sp(select[i]), .r(eoc[i]), .q(state[i]), .clk(wb_clk), .rst(wb_rst));
2426
end
2427
endgenerate
2428
    assign sel = select | state;
2429
    vl_mux_andor # ( .nr_of_ports(nr_of_ports), .width(32)) mux0 ( .a(wbm_dat_o), .sel(sel), .dout(wbs_dat_i));
2430
    vl_mux_andor # ( .nr_of_ports(nr_of_ports), .width(adr_size-adr_lo)) mux1 ( .a(wbm_adr_o), .sel(sel), .dout(wbs_adr_i));
2431
    vl_mux_andor # ( .nr_of_ports(nr_of_ports), .width(sel_size)) mux2 ( .a(wbm_sel_o), .sel(sel), .dout(wbs_sel_i));
2432
    vl_mux_andor # ( .nr_of_ports(nr_of_ports), .width(3)) mux3 ( .a(wbm_cti_o), .sel(sel), .dout(wbs_cti_i));
2433
    vl_mux_andor # ( .nr_of_ports(nr_of_ports), .width(2)) mux4 ( .a(wbm_bte_o), .sel(sel), .dout(wbs_bte_i));
2434
    vl_mux_andor # ( .nr_of_ports(nr_of_ports), .width(1)) mux5 ( .a(wbm_we_o), .sel(sel), .dout(wbs_we_i));
2435
    vl_mux_andor # ( .nr_of_ports(nr_of_ports), .width(1)) mux6 ( .a(wbm_stb_o), .sel(sel), .dout(wbs_stb_i));
2436
    assign wbs_cyc_i = |sel;
2437
    assign wbm_dat_i = {nr_of_ports{wbs_dat_o}};
2438
    assign wbm_ack_i = {nr_of_ports{wbs_ack_o}} & sel;
2439
    assign wbm_err_i = {nr_of_ports{wbs_err_o}} & sel;
2440
    assign wbm_rty_i = {nr_of_ports{wbs_rty_o}} & sel;
2441
endmodule
2442 49 unneback
// WB RAM with byte enable
2443 59 unneback
module vl_wb_b3_ram_be (
2444 69 unneback
    wbs_dat_i, wbs_adr_i, wbs_cti_i, wbs_bte_i, wbs_sel_i, wbs_we_i, wbs_stb_i, wbs_cyc_i,
2445
    wbs_dat_o, wbs_ack_o, wb_clk, wb_rst);
2446 68 unneback
parameter adr_size = 16;
2447 60 unneback
parameter adr_lo   = 2;
2448 68 unneback
parameter mem_size = 1<<16;
2449 60 unneback
parameter dat_size = 32;
2450
parameter memory_init = 1;
2451
parameter memory_file = "vl_ram.vmem";
2452 69 unneback
localparam aw = (adr_size - adr_lo);
2453
localparam dw = dat_size;
2454
localparam sw = dat_size/8;
2455
localparam cw = 3;
2456
localparam bw = 2;
2457 70 unneback
input [dw-1:0] wbs_dat_i;
2458
input [aw-1:0] wbs_adr_i;
2459
input [cw-1:0] wbs_cti_i;
2460
input [bw-1:0] wbs_bte_i;
2461
input [sw-1:0] wbs_sel_i;
2462
input wbs_we_i, wbs_stb_i, wbs_cyc_i;
2463
output [dw-1:0] wbs_dat_o;
2464
output wbs_ack_o;
2465 71 unneback
input wb_clk, wb_rst;
2466 60 unneback
wire [sw-1:0] cke;
2467
reg wbs_ack_o;
2468
vl_ram_be # (
2469
    .data_width(dat_size),
2470 72 unneback
    .addr_width(adr_size-2),
2471 69 unneback
    .mem_size(mem_size),
2472 68 unneback
    .memory_init(memory_init),
2473
    .memory_file(memory_file))
2474 60 unneback
ram0(
2475
    .d(wbs_dat_i),
2476
    .adr(wbs_adr_i[adr_size-1:2]),
2477
    .be(wbs_sel_i),
2478
    .we(wbs_we_i),
2479
    .q(wbs_dat_o),
2480
    .clk(wb_clk)
2481
);
2482 59 unneback
always @ (posedge wb_clk or posedge wb_rst)
2483
if (wb_rst)
2484 60 unneback
    wbs_ack_o <= 1'b0;
2485 59 unneback
else
2486 60 unneback
    if (wbs_cti_i==3'b000 | wbs_cti_i==3'b111)
2487
        wbs_ack_o <= wbs_stb_i & wbs_cyc_i & !wbs_ack_o;
2488 59 unneback
    else
2489 60 unneback
        wbs_ack_o <= wbs_stb_i & wbs_cyc_i;
2490 59 unneback
endmodule
2491
// WB RAM with byte enable
2492 49 unneback
module vl_wb_b4_ram_be (
2493
    wb_dat_i, wb_adr_i, wb_sel_i, wb_we_i, wb_stb_i, wb_cyc_i,
2494 52 unneback
    wb_dat_o, wb_stall_o, wb_ack_o, wb_clk, wb_rst);
2495 49 unneback
    parameter dat_width = 32;
2496
    parameter adr_width = 8;
2497
input [dat_width-1:0] wb_dat_i;
2498
input [adr_width-1:0] wb_adr_i;
2499
input [dat_width/8-1:0] wb_sel_i;
2500
input wb_we_i, wb_stb_i, wb_cyc_i;
2501
output [dat_width-1:0] wb_dat_o;
2502 51 unneback
reg [dat_width-1:0] wb_dat_o;
2503 52 unneback
output wb_stall_o;
2504 49 unneback
output wb_ack_o;
2505
reg wb_ack_o;
2506
input wb_clk, wb_rst;
2507 56 unneback
wire [dat_width/8-1:0] cke;
2508 49 unneback
generate
2509
if (dat_width==32) begin
2510 51 unneback
reg [7:0] ram3 [1<<(adr_width-2)-1:0];
2511
reg [7:0] ram2 [1<<(adr_width-2)-1:0];
2512
reg [7:0] ram1 [1<<(adr_width-2)-1:0];
2513
reg [7:0] ram0 [1<<(adr_width-2)-1:0];
2514 56 unneback
assign cke = wb_sel_i & {(dat_width/8){wb_we_i}};
2515 49 unneback
    always @ (posedge wb_clk)
2516
    begin
2517 56 unneback
        if (cke[3]) ram3[wb_adr_i[adr_width-1:2]] <= wb_dat_i[31:24];
2518
        if (cke[2]) ram2[wb_adr_i[adr_width-1:2]] <= wb_dat_i[23:16];
2519
        if (cke[1]) ram1[wb_adr_i[adr_width-1:2]] <= wb_dat_i[15:8];
2520
        if (cke[0]) ram0[wb_adr_i[adr_width-1:2]] <= wb_dat_i[7:0];
2521 49 unneback
    end
2522 59 unneback
    always @ (posedge wb_clk or posedge wb_rst)
2523
    begin
2524
        if (wb_rst)
2525
            wb_dat_o <= 32'h0;
2526
        else
2527
            wb_dat_o <= {ram3[wb_adr_i[adr_width-1:2]],ram2[wb_adr_i[adr_width-1:2]],ram1[wb_adr_i[adr_width-1:2]],ram0[wb_adr_i[adr_width-1:2]]};
2528
    end
2529 49 unneback
end
2530
endgenerate
2531 52 unneback
always @ (posedge wb_clk or posedge wb_rst)
2532 55 unneback
if (wb_rst)
2533 52 unneback
    wb_ack_o <= 1'b0;
2534
else
2535 54 unneback
    wb_ack_o <= wb_stb_i & wb_cyc_i;
2536 52 unneback
assign wb_stall_o = 1'b0;
2537 49 unneback
endmodule
2538 17 unneback
// WB ROM
2539 48 unneback
module vl_wb_b4_rom (
2540
    wb_adr_i, wb_stb_i, wb_cyc_i,
2541
    wb_dat_o, stall_o, wb_ack_o, wb_clk, wb_rst);
2542
    parameter dat_width = 32;
2543
    parameter dat_default = 32'h15000000;
2544
    parameter adr_width = 32;
2545
/*
2546
`ifndef ROM
2547
`define ROM "rom.v"
2548
`endif
2549
*/
2550
    input [adr_width-1:2]   wb_adr_i;
2551
    input                   wb_stb_i;
2552
    input                   wb_cyc_i;
2553
    output [dat_width-1:0]  wb_dat_o;
2554
    reg [dat_width-1:0]     wb_dat_o;
2555
    output                  wb_ack_o;
2556
    reg                     wb_ack_o;
2557
    output                  stall_o;
2558
    input                   wb_clk;
2559
    input                   wb_rst;
2560
always @ (posedge wb_clk or posedge wb_rst)
2561
    if (wb_rst)
2562
        wb_dat_o <= {dat_width{1'b0}};
2563
    else
2564
         case (wb_adr_i[adr_width-1:2])
2565
`ifdef ROM
2566
`include `ROM
2567
`endif
2568
           default:
2569
             wb_dat_o <= dat_default;
2570
         endcase // case (wb_adr_i)
2571
always @ (posedge wb_clk or posedge wb_rst)
2572
    if (wb_rst)
2573
        wb_ack_o <= 1'b0;
2574
    else
2575
        wb_ack_o <= wb_stb_i & wb_cyc_i;
2576
assign stall_o = 1'b0;
2577
endmodule
2578
// WB ROM
2579 18 unneback
module vl_wb_boot_rom (
2580 17 unneback
    wb_adr_i, wb_stb_i, wb_cyc_i,
2581 18 unneback
    wb_dat_o, wb_ack_o, hit_o, wb_clk, wb_rst);
2582
    parameter adr_hi = 31;
2583
    parameter adr_lo = 28;
2584
    parameter adr_sel = 4'hf;
2585
    parameter addr_width = 5;
2586 33 unneback
/*
2587 17 unneback
`ifndef BOOT_ROM
2588
`define BOOT_ROM "boot_rom.v"
2589
`endif
2590 33 unneback
*/
2591 18 unneback
    input [adr_hi:2]    wb_adr_i;
2592
    input               wb_stb_i;
2593
    input               wb_cyc_i;
2594
    output [31:0]        wb_dat_o;
2595
    output              wb_ack_o;
2596
    output              hit_o;
2597
    input               wb_clk;
2598
    input               wb_rst;
2599
    wire hit;
2600
    reg [31:0] wb_dat;
2601
    reg wb_ack;
2602
assign hit = wb_adr_i[adr_hi:adr_lo] == adr_sel;
2603 17 unneback
always @ (posedge wb_clk or posedge wb_rst)
2604
    if (wb_rst)
2605 18 unneback
        wb_dat <= 32'h15000000;
2606 17 unneback
    else
2607 18 unneback
         case (wb_adr_i[addr_width-1:2])
2608 33 unneback
`ifdef BOOT_ROM
2609 17 unneback
`include `BOOT_ROM
2610 33 unneback
`endif
2611 17 unneback
           /*
2612
            // Zero r0 and jump to 0x00000100
2613 18 unneback
 
2614
            1 : wb_dat <= 32'hA8200000;
2615
            2 : wb_dat <= 32'hA8C00100;
2616
            3 : wb_dat <= 32'h44003000;
2617
            4 : wb_dat <= 32'h15000000;
2618 17 unneback
            */
2619
           default:
2620 18 unneback
             wb_dat <= 32'h00000000;
2621 17 unneback
         endcase // case (wb_adr_i)
2622
always @ (posedge wb_clk or posedge wb_rst)
2623
    if (wb_rst)
2624 18 unneback
        wb_ack <= 1'b0;
2625 17 unneback
    else
2626 18 unneback
        wb_ack <= wb_stb_i & wb_cyc_i & hit & !wb_ack;
2627
assign hit_o = hit;
2628
assign wb_dat_o = wb_dat & {32{wb_ack}};
2629
assign wb_ack_o = wb_ack;
2630 17 unneback
endmodule
2631 32 unneback
module vl_wb_dpram (
2632
        // wishbone slave side a
2633
        wbsa_dat_i, wbsa_adr_i, wbsa_we_i, wbsa_cyc_i, wbsa_stb_i, wbsa_dat_o, wbsa_ack_o,
2634
        wbsa_clk, wbsa_rst,
2635
        // wishbone slave side a
2636
        wbsb_dat_i, wbsb_adr_i, wbsb_we_i, wbsb_cyc_i, wbsb_stb_i, wbsb_dat_o, wbsb_ack_o,
2637
        wbsb_clk, wbsb_rst);
2638
parameter data_width = 32;
2639
parameter addr_width = 8;
2640
parameter dat_o_mask_a = 1;
2641
parameter dat_o_mask_b = 1;
2642
input [31:0] wbsa_dat_i;
2643
input [addr_width-1:2] wbsa_adr_i;
2644
input wbsa_we_i, wbsa_cyc_i, wbsa_stb_i;
2645
output [31:0] wbsa_dat_o;
2646
output wbsa_ack_o;
2647
input wbsa_clk, wbsa_rst;
2648
input [31:0] wbsb_dat_i;
2649
input [addr_width-1:2] wbsb_adr_i;
2650
input wbsb_we_i, wbsb_cyc_i, wbsb_stb_i;
2651
output [31:0] wbsb_dat_o;
2652
output wbsb_ack_o;
2653
input wbsb_clk, wbsb_rst;
2654
wire wbsa_dat_tmp, wbsb_dat_tmp;
2655
vl_dpram_2r2w # (
2656 33 unneback
    .data_width(data_width), .addr_width(addr_width) )
2657 32 unneback
dpram0(
2658
    .d_a(wbsa_dat_i),
2659
    .q_a(wbsa_dat_tmp),
2660
    .adr_a(wbsa_adr_i),
2661
    .we_a(wbsa_we_i),
2662
    .clk_a(wbsa_clk),
2663
    .d_b(wbsb_dat_i),
2664
    .q_b(wbsb_dat_tmp),
2665
    .adr_b(wbsb_adr_i),
2666
    .we_b(wbsb_we_i),
2667
    .clk_b(wbsb_clk) );
2668 33 unneback
generate if (dat_o_mask_a==1)
2669 32 unneback
    assign wbsa_dat_o = wbsa_dat_tmp & {data_width{wbsa_ack_o}};
2670
endgenerate
2671 33 unneback
generate if (dat_o_mask_a==0)
2672 32 unneback
    assign wbsa_dat_o = wbsa_dat_tmp;
2673
endgenerate
2674 33 unneback
generate if (dat_o_mask_b==1)
2675 32 unneback
    assign wbsb_dat_o = wbsb_dat_tmp & {data_width{wbsb_ack_o}};
2676
endgenerate
2677 33 unneback
generate if (dat_o_mask_b==0)
2678 32 unneback
    assign wbsb_dat_o = wbsb_dat_tmp;
2679
endgenerate
2680
vl_spr ack_a( .sp(wbsa_cyc_i & wbsa_stb_i & !wbsa_ack_o), .r(1'b1), .q(wbsa_ack_o), .clk(wbsa_clk), .rst(wbsa_rst));
2681
vl_spr ack_b( .sp(wbsb_cyc_i & wbsb_stb_i & !wbsb_ack_o), .r(1'b1), .q(wbsb_ack_o), .clk(wbsb_clk), .rst(wbsb_rst));
2682
endmodule
2683 18 unneback
//////////////////////////////////////////////////////////////////////
2684
////                                                              ////
2685
////  Arithmetic functions                                        ////
2686
////                                                              ////
2687
////  Description                                                 ////
2688
////  Arithmetic functions for ALU and DSP                        ////
2689
////                                                              ////
2690
////                                                              ////
2691
////  To Do:                                                      ////
2692
////   -                                                          ////
2693
////                                                              ////
2694
////  Author(s):                                                  ////
2695
////      - Michael Unneback, unneback@opencores.org              ////
2696
////        ORSoC AB                                              ////
2697
////                                                              ////
2698
//////////////////////////////////////////////////////////////////////
2699
////                                                              ////
2700
//// Copyright (C) 2010 Authors and OPENCORES.ORG                 ////
2701
////                                                              ////
2702
//// This source file may be used and distributed without         ////
2703
//// restriction provided that this copyright statement is not    ////
2704
//// removed from the file and that any derivative work contains  ////
2705
//// the original copyright notice and the associated disclaimer. ////
2706
////                                                              ////
2707
//// This source file is free software; you can redistribute it   ////
2708
//// and/or modify it under the terms of the GNU Lesser General   ////
2709
//// Public License as published by the Free Software Foundation; ////
2710
//// either version 2.1 of the License, or (at your option) any   ////
2711
//// later version.                                               ////
2712
////                                                              ////
2713
//// This source is distributed in the hope that it will be       ////
2714
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
2715
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
2716
//// PURPOSE.  See the GNU Lesser General Public License for more ////
2717
//// details.                                                     ////
2718
////                                                              ////
2719
//// You should have received a copy of the GNU Lesser General    ////
2720
//// Public License along with this source; if not, download it   ////
2721
//// from http://www.opencores.org/lgpl.shtml                     ////
2722
////                                                              ////
2723
//////////////////////////////////////////////////////////////////////
2724
// signed multiplication
2725
module vl_mults (a,b,p);
2726
parameter operand_a_width = 18;
2727
parameter operand_b_width = 18;
2728
parameter result_hi = 35;
2729
parameter result_lo = 0;
2730
input [operand_a_width-1:0] a;
2731
input [operand_b_width-1:0] b;
2732
output [result_hi:result_lo] p;
2733
wire signed [operand_a_width-1:0] ai;
2734
wire signed [operand_b_width-1:0] bi;
2735
wire signed [operand_a_width+operand_b_width-1:0] result;
2736
    assign ai = a;
2737
    assign bi = b;
2738
    assign result = ai * bi;
2739
    assign p = result[result_hi:result_lo];
2740
endmodule
2741
module vl_mults18x18 (a,b,p);
2742
input [17:0] a,b;
2743
output [35:0] p;
2744
vl_mult
2745
    # (.operand_a_width(18), .operand_b_width(18))
2746
    mult0 (.a(a), .b(b), .p(p));
2747
endmodule
2748
// unsigned multiplication
2749
module vl_mult (a,b,p);
2750
parameter operand_a_width = 18;
2751
parameter operand_b_width = 18;
2752
parameter result_hi = 35;
2753
parameter result_lo = 0;
2754
input [operand_a_width-1:0] a;
2755
input [operand_b_width-1:0] b;
2756
output [result_hi:result_hi] p;
2757
wire [operand_a_width+operand_b_width-1:0] result;
2758
    assign result = a * b;
2759
    assign p = result[result_hi:result_lo];
2760
endmodule
2761
// shift unit
2762
// supporting the following shift functions
2763
//   SLL
2764
//   SRL
2765
//   SRA
2766
module vl_shift_unit_32( din, s, dout, opcode);
2767
input [31:0] din; // data in operand
2768
input [4:0] s; // shift operand
2769
input [1:0] opcode;
2770
output [31:0] dout;
2771
parameter opcode_sll = 2'b00;
2772
//parameter opcode_srl = 2'b01;
2773
parameter opcode_sra = 2'b10;
2774
//parameter opcode_ror = 2'b11;
2775
wire sll, sra;
2776
assign sll = opcode == opcode_sll;
2777
assign sra = opcode == opcode_sra;
2778
wire [15:1] s1;
2779
wire [3:0] sign;
2780
wire [7:0] tmp [0:3];
2781
// first stage is multiplier based
2782
// shift operand as fractional 8.7
2783
assign s1[15] = sll & s[2:0]==3'd7;
2784
assign s1[14] = sll & s[2:0]==3'd6;
2785
assign s1[13] = sll & s[2:0]==3'd5;
2786
assign s1[12] = sll & s[2:0]==3'd4;
2787
assign s1[11] = sll & s[2:0]==3'd3;
2788
assign s1[10] = sll & s[2:0]==3'd2;
2789
assign s1[ 9] = sll & s[2:0]==3'd1;
2790
assign s1[ 8] = s[2:0]==3'd0;
2791
assign s1[ 7] = !sll & s[2:0]==3'd1;
2792
assign s1[ 6] = !sll & s[2:0]==3'd2;
2793
assign s1[ 5] = !sll & s[2:0]==3'd3;
2794
assign s1[ 4] = !sll & s[2:0]==3'd4;
2795
assign s1[ 3] = !sll & s[2:0]==3'd5;
2796
assign s1[ 2] = !sll & s[2:0]==3'd6;
2797
assign s1[ 1] = !sll & s[2:0]==3'd7;
2798
assign sign[3] = din[31] & sra;
2799
assign sign[2] = sign[3] & (&din[31:24]);
2800
assign sign[1] = sign[2] & (&din[23:16]);
2801
assign sign[0] = sign[1] & (&din[15:8]);
2802
vl_mults # ( .operand_a_width(25), .operand_b_width(16), .result_hi(14), .result_lo(7)) mult_byte3 ( .a({sign[3], {8{sign[3]}},din[31:24], din[23:16]}), .b({1'b0,s1}), .p(tmp[3]));
2803
vl_mults # ( .operand_a_width(25), .operand_b_width(16), .result_hi(14), .result_lo(7)) mult_byte2 ( .a({sign[2], din[31:24]  ,din[23:16],  din[15:8]}), .b({1'b0,s1}), .p(tmp[2]));
2804
vl_mults # ( .operand_a_width(25), .operand_b_width(16), .result_hi(14), .result_lo(7)) mult_byte1 ( .a({sign[1], din[23:16]  ,din[15:8],   din[7:0]}), .b({1'b0,s1}), .p(tmp[1]));
2805
vl_mults # ( .operand_a_width(25), .operand_b_width(16), .result_hi(14), .result_lo(7)) mult_byte0 ( .a({sign[0], din[15:8]   ,din[7:0],    8'h00}),      .b({1'b0,s1}), .p(tmp[0]));
2806
// second stage is multiplexer based
2807
// shift on byte level
2808
// mux byte 3
2809
assign dout[31:24] = (s[4:3]==2'b00) ? tmp[3] :
2810
                     (sll & s[4:3]==2'b01) ? tmp[2] :
2811
                     (sll & s[4:3]==2'b10) ? tmp[1] :
2812
                     (sll & s[4:3]==2'b11) ? tmp[0] :
2813
                     {8{sign[3]}};
2814
// mux byte 2
2815
assign dout[23:16] = (s[4:3]==2'b00) ? tmp[2] :
2816
                     (sll & s[4:3]==2'b01) ? tmp[1] :
2817
                     (sll & s[4:3]==2'b10) ? tmp[0] :
2818
                     (sll & s[4:3]==2'b11) ? {8{1'b0}} :
2819
                     (s[4:3]==2'b01) ? tmp[3] :
2820
                     {8{sign[3]}};
2821
// mux byte 1
2822
assign dout[15:8]  = (s[4:3]==2'b00) ? tmp[1] :
2823
                     (sll & s[4:3]==2'b01) ? tmp[0] :
2824
                     (sll & s[4:3]==2'b10) ? {8{1'b0}} :
2825
                     (sll & s[4:3]==2'b11) ? {8{1'b0}} :
2826
                     (s[4:3]==2'b01) ? tmp[2] :
2827
                     (s[4:3]==2'b10) ? tmp[3] :
2828
                     {8{sign[3]}};
2829
// mux byte 0
2830
assign dout[7:0]   = (s[4:3]==2'b00) ? tmp[0] :
2831
                     (sll) ?  {8{1'b0}}:
2832
                     (s[4:3]==2'b01) ? tmp[1] :
2833
                     (s[4:3]==2'b10) ? tmp[2] :
2834
                     tmp[3];
2835
endmodule
2836
// logic unit
2837
// supporting the following logic functions
2838
//    a and b
2839
//    a or  b
2840
//    a xor b
2841
//    not b
2842
module vl_logic_unit( a, b, result, opcode);
2843
parameter width = 32;
2844
parameter opcode_and = 2'b00;
2845
parameter opcode_or  = 2'b01;
2846
parameter opcode_xor = 2'b10;
2847
input [width-1:0] a,b;
2848
output [width-1:0] result;
2849
input [1:0] opcode;
2850
assign result = (opcode==opcode_and) ? a & b :
2851
                (opcode==opcode_or)  ? a | b :
2852
                (opcode==opcode_xor) ? a ^ b :
2853
                b;
2854
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.