OpenCores
URL https://opencores.org/ocsvn/versatile_library/versatile_library/trunk

Subversion Repositories versatile_library

[/] [versatile_library/] [trunk/] [rtl/] [verilog/] [versatile_library_altera.v] - Blame information for rev 129

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 60 unneback
// default SYN_KEEP definition
2 97 unneback
// size to width
3 6 unneback
//////////////////////////////////////////////////////////////////////
4
////                                                              ////
5
////  Versatile library, clock and reset                          ////
6
////                                                              ////
7
////  Description                                                 ////
8
////  Logic related to clock and reset                            ////
9
////                                                              ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   - add more different registers                             ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Michael Unneback, unneback@opencores.org              ////
16
////        ORSoC AB                                              ////
17
////                                                              ////
18
//////////////////////////////////////////////////////////////////////
19
////                                                              ////
20
//// Copyright (C) 2010 Authors and OPENCORES.ORG                 ////
21
////                                                              ////
22
//// This source file may be used and distributed without         ////
23
//// restriction provided that this copyright statement is not    ////
24
//// removed from the file and that any derivative work contains  ////
25
//// the original copyright notice and the associated disclaimer. ////
26
////                                                              ////
27
//// This source file is free software; you can redistribute it   ////
28
//// and/or modify it under the terms of the GNU Lesser General   ////
29
//// Public License as published by the Free Software Foundation; ////
30
//// either version 2.1 of the License, or (at your option) any   ////
31
//// later version.                                               ////
32
////                                                              ////
33
//// This source is distributed in the hope that it will be       ////
34
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
35
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
36
//// PURPOSE.  See the GNU Lesser General Public License for more ////
37
//// details.                                                     ////
38
////                                                              ////
39
//// You should have received a copy of the GNU Lesser General    ////
40
//// Public License along with this source; if not, download it   ////
41
//// from http://www.opencores.org/lgpl.shtml                     ////
42
////                                                              ////
43
//////////////////////////////////////////////////////////////////////
44 21 unneback
//altera
45 33 unneback
module vl_gbuf ( i, o);
46
input i;
47
output o;
48
assign o = i;
49
endmodule
50 6 unneback
 // ALTERA
51
 //ACTEL
52
// sync reset
53 17 unneback
// input active lo async reset, normally from external reset generator and/or switch
54 6 unneback
// output active high global reset sync with two DFFs 
55
`timescale 1 ns/100 ps
56
module vl_sync_rst ( rst_n_i, rst_o, clk);
57
input rst_n_i, clk;
58
output rst_o;
59 18 unneback
reg [1:0] tmp;
60 6 unneback
always @ (posedge clk or negedge rst_n_i)
61
if (!rst_n_i)
62 17 unneback
        tmp <= 2'b11;
63 6 unneback
else
64 33 unneback
        tmp <= {1'b0,tmp[1]};
65 17 unneback
vl_gbuf buf_i0( .i(tmp[0]), .o(rst_o));
66 6 unneback
endmodule
67
// vl_pll
68 32 unneback
///////////////////////////////////////////////////////////////////////////////
69
`timescale 1 ps/1 ps
70
module vl_pll ( clk_i, rst_n_i, lock, clk_o, rst_o);
71
parameter index = 0;
72
parameter number_of_clk = 1;
73
parameter period_time_0 = 20000;
74
parameter period_time_1 = 20000;
75
parameter period_time_2 = 20000;
76
parameter period_time_3 = 20000;
77
parameter period_time_4 = 20000;
78
parameter lock_delay = 2000000;
79
input clk_i, rst_n_i;
80
output lock;
81
output reg [0:number_of_clk-1] clk_o;
82
output [0:number_of_clk-1] rst_o;
83 33 unneback
`ifdef SIM_PLL
84 32 unneback
always
85
     #((period_time_0)/2) clk_o[0] <=  (!rst_n_i) ? 0 : ~clk_o[0];
86
generate if (number_of_clk > 1)
87
always
88
     #((period_time_1)/2) clk_o[1] <=  (!rst_n_i) ? 0 : ~clk_o[1];
89
endgenerate
90
generate if (number_of_clk > 2)
91
always
92
     #((period_time_2)/2) clk_o[2] <=  (!rst_n_i) ? 0 : ~clk_o[2];
93
endgenerate
94 33 unneback
generate if (number_of_clk > 3)
95 32 unneback
always
96
     #((period_time_3)/2) clk_o[3] <=  (!rst_n_i) ? 0 : ~clk_o[3];
97
endgenerate
98 33 unneback
generate if (number_of_clk > 4)
99 32 unneback
always
100
     #((period_time_4)/2) clk_o[4] <=  (!rst_n_i) ? 0 : ~clk_o[4];
101
endgenerate
102
genvar i;
103
generate for (i=0;i<number_of_clk;i=i+1) begin: clock
104
     vl_sync_rst rst_i0 ( .rst_n_i(rst_n_i | lock), .rst_o(rst_o[i]), .clk(clk_o[i]));
105
end
106
endgenerate
107 33 unneback
//assign #lock_delay lock = rst_n_i;
108
assign lock = rst_n_i;
109 32 unneback
endmodule
110 33 unneback
`else
111
`ifdef VL_PLL0
112
`ifdef VL_PLL0_CLK1
113
    pll0 pll0_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]));
114
`endif
115
`ifdef VL_PLL0_CLK2
116
    pll0 pll0_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]), .c1(clk_o[1]));
117
`endif
118
`ifdef VL_PLL0_CLK3
119
    pll0 pll0_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]), .c1(clk_o[1]), .c2(clk_o[2]));
120
`endif
121
`ifdef VL_PLL0_CLK4
122
    pll0 pll0_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]), .c1(clk_o[1]), .c2(clk_o[2]), .c3(clk_o[3]));
123
`endif
124
`ifdef VL_PLL0_CLK5
125
    pll0 pll0_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]), .c1(clk_o[1]), .c2(clk_o[2]), .c3(clk_o[3]), .c4(clk_o[4]));
126
`endif
127
`endif
128
`ifdef VL_PLL1
129
`ifdef VL_PLL1_CLK1
130
    pll1 pll1_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]));
131
`endif
132
`ifdef VL_PLL1_CLK2
133
    pll1 pll1_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]), .c1(clk_o[1]));
134
`endif
135
`ifdef VL_PLL1_CLK3
136
    pll1 pll1_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]), .c1(clk_o[1]), .c2(clk_o[2]));
137
`endif
138
`ifdef VL_PLL1_CLK4
139
    pll1 pll1_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]), .c1(clk_o[1]), .c2(clk_o[2]), .c3(clk_o[3]));
140
`endif
141
`ifdef VL_PLL1_CLK5
142
    pll1 pll1_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]), .c1(clk_o[1]), .c2(clk_o[2]), .c3(clk_o[3]), .c4(clk_o[4]));
143
`endif
144
`endif
145
`ifdef VL_PLL2
146
`ifdef VL_PLL2_CLK1
147
    pll2 pll2_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]));
148
`endif
149
`ifdef VL_PLL2_CLK2
150
    pll2 pll2_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]), .c1(clk_o[1]));
151
`endif
152
`ifdef VL_PLL2_CLK3
153
    pll2 pll2_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]), .c1(clk_o[1]), .c2(clk_o[2]));
154
`endif
155
`ifdef VL_PLL2_CLK4
156
    pll2 pll2_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]), .c1(clk_o[1]), .c2(clk_o[2]), .c3(clk_o[3]));
157
`endif
158
`ifdef VL_PLL2_CLK5
159
    pll2 pll2_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]), .c1(clk_o[1]), .c2(clk_o[2]), .c3(clk_o[3]), .c4(clk_o[4]));
160
`endif
161
`endif
162
`ifdef VL_PLL3
163
`ifdef VL_PLL3_CLK1
164
    pll3 pll3_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]));
165
`endif
166
`ifdef VL_PLL3_CLK2
167
    pll3 pll3_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]), .c1(clk_o[1]));
168
`endif
169
`ifdef VL_PLL3_CLK3
170
    pll3 pll3_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]), .c1(clk_o[1]), .c2(clk_o[2]));
171
`endif
172
`ifdef VL_PLL3_CLK4
173
    pll3 pll3_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]), .c1(clk_o[1]), .c2(clk_o[2]), .c3(clk_o[3]));
174
`endif
175
`ifdef VL_PLL3_CLK5
176
    pll3 pll3_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]), .c1(clk_o[1]), .c2(clk_o[2]), .c3(clk_o[3]), .c4(clk_o[4]));
177
`endif
178
`endif
179 32 unneback
genvar i;
180
generate for (i=0;i<number_of_clk;i=i+1) begin: clock
181 40 unneback
        vl_sync_rst rst_i0 ( .rst_n_i(rst_n_i | lock), .rst_o(rst_o[i]), .clk(clk_o[i]));
182 32 unneback
end
183
endgenerate
184
endmodule
185 33 unneback
`endif
186 32 unneback
///////////////////////////////////////////////////////////////////////////////
187 6 unneback
 //altera
188
 //actel
189
//////////////////////////////////////////////////////////////////////
190
////                                                              ////
191
////  Versatile library, registers                                ////
192
////                                                              ////
193
////  Description                                                 ////
194
////  Different type of registers                                 ////
195
////                                                              ////
196
////                                                              ////
197
////  To Do:                                                      ////
198
////   - add more different registers                             ////
199
////                                                              ////
200
////  Author(s):                                                  ////
201
////      - Michael Unneback, unneback@opencores.org              ////
202
////        ORSoC AB                                              ////
203
////                                                              ////
204
//////////////////////////////////////////////////////////////////////
205
////                                                              ////
206
//// Copyright (C) 2010 Authors and OPENCORES.ORG                 ////
207
////                                                              ////
208
//// This source file may be used and distributed without         ////
209
//// restriction provided that this copyright statement is not    ////
210
//// removed from the file and that any derivative work contains  ////
211
//// the original copyright notice and the associated disclaimer. ////
212
////                                                              ////
213
//// This source file is free software; you can redistribute it   ////
214
//// and/or modify it under the terms of the GNU Lesser General   ////
215
//// Public License as published by the Free Software Foundation; ////
216
//// either version 2.1 of the License, or (at your option) any   ////
217
//// later version.                                               ////
218
////                                                              ////
219
//// This source is distributed in the hope that it will be       ////
220
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
221
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
222
//// PURPOSE.  See the GNU Lesser General Public License for more ////
223
//// details.                                                     ////
224
////                                                              ////
225
//// You should have received a copy of the GNU Lesser General    ////
226
//// Public License along with this source; if not, download it   ////
227
//// from http://www.opencores.org/lgpl.shtml                     ////
228
////                                                              ////
229
//////////////////////////////////////////////////////////////////////
230 18 unneback
module vl_dff ( d, q, clk, rst);
231 6 unneback
        parameter width = 1;
232
        parameter reset_value = 0;
233
        input [width-1:0] d;
234
        input clk, rst;
235
        output reg [width-1:0] q;
236
        always @ (posedge clk or posedge rst)
237
        if (rst)
238
                q <= reset_value;
239
        else
240
                q <= d;
241
endmodule
242 18 unneback
module vl_dff_array ( d, q, clk, rst);
243 6 unneback
        parameter width = 1;
244
        parameter depth = 2;
245
        parameter reset_value = 1'b0;
246
        input [width-1:0] d;
247
        input clk, rst;
248
        output [width-1:0] q;
249
        reg  [0:depth-1] q_tmp [width-1:0];
250
        integer i;
251
        always @ (posedge clk or posedge rst)
252
        if (rst) begin
253
            for (i=0;i<depth;i=i+1)
254
                q_tmp[i] <= {width{reset_value}};
255
        end else begin
256
            q_tmp[0] <= d;
257
            for (i=1;i<depth;i=i+1)
258
                q_tmp[i] <= q_tmp[i-1];
259
        end
260
    assign q = q_tmp[depth-1];
261
endmodule
262 18 unneback
module vl_dff_ce ( d, ce, q, clk, rst);
263 6 unneback
        parameter width = 1;
264
        parameter reset_value = 0;
265
        input [width-1:0] d;
266
        input ce, clk, rst;
267
        output reg [width-1:0] q;
268
        always @ (posedge clk or posedge rst)
269
        if (rst)
270
                q <= reset_value;
271
        else
272
                if (ce)
273
                        q <= d;
274
endmodule
275 18 unneback
module vl_dff_ce_clear ( d, ce, clear, q, clk, rst);
276 8 unneback
        parameter width = 1;
277
        parameter reset_value = 0;
278
        input [width-1:0] d;
279 10 unneback
        input ce, clear, clk, rst;
280 8 unneback
        output reg [width-1:0] q;
281
        always @ (posedge clk or posedge rst)
282
        if (rst)
283
            q <= reset_value;
284
        else
285
            if (ce)
286
                if (clear)
287
                    q <= {width{1'b0}};
288
                else
289
                    q <= d;
290
endmodule
291 24 unneback
module vl_dff_ce_set ( d, ce, set, q, clk, rst);
292
        parameter width = 1;
293
        parameter reset_value = 0;
294
        input [width-1:0] d;
295
        input ce, set, clk, rst;
296
        output reg [width-1:0] q;
297
        always @ (posedge clk or posedge rst)
298
        if (rst)
299
            q <= reset_value;
300
        else
301
            if (ce)
302
                if (set)
303
                    q <= {width{1'b1}};
304
                else
305
                    q <= d;
306
endmodule
307 29 unneback
module vl_spr ( sp, r, q, clk, rst);
308 64 unneback
        //parameter width = 1;
309
        parameter reset_value = 1'b0;
310 29 unneback
        input sp, r;
311
        output reg q;
312
        input clk, rst;
313
        always @ (posedge clk or posedge rst)
314
        if (rst)
315
            q <= reset_value;
316
        else
317
            if (sp)
318
                q <= 1'b1;
319
            else if (r)
320
                q <= 1'b0;
321
endmodule
322
module vl_srp ( s, rp, q, clk, rst);
323
        parameter width = 1;
324
        parameter reset_value = 0;
325
        input s, rp;
326
        output reg q;
327
        input clk, rst;
328
        always @ (posedge clk or posedge rst)
329
        if (rst)
330
            q <= reset_value;
331
        else
332
            if (rp)
333
                q <= 1'b0;
334
            else if (s)
335
                q <= 1'b1;
336
endmodule
337 6 unneback
// megafunction wizard: %LPM_FF%
338
// GENERATION: STANDARD
339
// VERSION: WM1.0
340
// MODULE: lpm_ff 
341
// ============================================================
342
// File Name: dff_sr.v
343
// Megafunction Name(s):
344
//                      lpm_ff
345
//
346
// Simulation Library Files(s):
347
//                      lpm
348
// ============================================================
349
// ************************************************************
350
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
351
//
352
// 9.1 Build 304 01/25/2010 SP 1 SJ Full Version
353
// ************************************************************
354
//Copyright (C) 1991-2010 Altera Corporation
355
//Your use of Altera Corporation's design tools, logic functions 
356
//and other software and tools, and its AMPP partner logic 
357
//functions, and any output files from any of the foregoing 
358
//(including device programming or simulation files), and any 
359
//associated documentation or information are expressly subject 
360
//to the terms and conditions of the Altera Program License 
361
//Subscription Agreement, Altera MegaCore Function License 
362
//Agreement, or other applicable license agreement, including, 
363
//without limitation, that your use is for the sole purpose of 
364
//programming logic devices manufactured by Altera and sold by 
365
//Altera or its authorized distributors.  Please refer to the 
366
//applicable agreement for further details.
367
// synopsys translate_off
368
`timescale 1 ps / 1 ps
369
// synopsys translate_on
370 18 unneback
module vl_dff_sr (
371 6 unneback
        aclr,
372
        aset,
373
        clock,
374
        data,
375
        q);
376
        input     aclr;
377
        input     aset;
378
        input     clock;
379
        input     data;
380
        output    q;
381
        wire [0:0] sub_wire0;
382
        wire [0:0] sub_wire1 = sub_wire0[0:0];
383
        wire  q = sub_wire1;
384
        wire  sub_wire2 = data;
385
        wire  sub_wire3 = sub_wire2;
386
        lpm_ff  lpm_ff_component (
387
                                .aclr (aclr),
388
                                .clock (clock),
389
                                .data (sub_wire3),
390
                                .aset (aset),
391
                                .q (sub_wire0)
392
                                // synopsys translate_off
393
                                ,
394
                                .aload (),
395
                                .enable (),
396
                                .sclr (),
397
                                .sload (),
398
                                .sset ()
399
                                // synopsys translate_on
400
                                );
401
        defparam
402
                lpm_ff_component.lpm_fftype = "DFF",
403
                lpm_ff_component.lpm_type = "LPM_FF",
404
                lpm_ff_component.lpm_width = 1;
405
endmodule
406
// ============================================================
407
// CNX file retrieval info
408
// ============================================================
409
// Retrieval info: PRIVATE: ACLR NUMERIC "1"
410
// Retrieval info: PRIVATE: ALOAD NUMERIC "0"
411
// Retrieval info: PRIVATE: ASET NUMERIC "1"
412
// Retrieval info: PRIVATE: ASET_ALL1 NUMERIC "1"
413
// Retrieval info: PRIVATE: CLK_EN NUMERIC "0"
414
// Retrieval info: PRIVATE: DFF NUMERIC "1"
415
// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E"
416
// Retrieval info: PRIVATE: SCLR NUMERIC "0"
417
// Retrieval info: PRIVATE: SLOAD NUMERIC "0"
418
// Retrieval info: PRIVATE: SSET NUMERIC "0"
419
// Retrieval info: PRIVATE: SSET_ALL1 NUMERIC "1"
420
// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
421
// Retrieval info: PRIVATE: UseTFFdataPort NUMERIC "0"
422
// Retrieval info: PRIVATE: nBit NUMERIC "1"
423
// Retrieval info: CONSTANT: LPM_FFTYPE STRING "DFF"
424
// Retrieval info: CONSTANT: LPM_TYPE STRING "LPM_FF"
425
// Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "1"
426
// Retrieval info: USED_PORT: aclr 0 0 0 0 INPUT NODEFVAL aclr
427
// Retrieval info: USED_PORT: aset 0 0 0 0 INPUT NODEFVAL aset
428
// Retrieval info: USED_PORT: clock 0 0 0 0 INPUT NODEFVAL clock
429
// Retrieval info: USED_PORT: data 0 0 0 0 INPUT NODEFVAL data
430
// Retrieval info: USED_PORT: q 0 0 0 0 OUTPUT NODEFVAL q
431
// Retrieval info: CONNECT: @clock 0 0 0 0 clock 0 0 0 0
432
// Retrieval info: CONNECT: q 0 0 0 0 @q 0 0 1 0
433
// Retrieval info: CONNECT: @aclr 0 0 0 0 aclr 0 0 0 0
434
// Retrieval info: CONNECT: @aset 0 0 0 0 aset 0 0 0 0
435
// Retrieval info: CONNECT: @data 0 0 1 0 data 0 0 0 0
436
// Retrieval info: LIBRARY: lpm lpm.lpm_components.all
437
// Retrieval info: GEN_FILE: TYPE_NORMAL dff_sr.v TRUE
438
// Retrieval info: GEN_FILE: TYPE_NORMAL dff_sr.inc FALSE
439
// Retrieval info: GEN_FILE: TYPE_NORMAL dff_sr.cmp FALSE
440
// Retrieval info: GEN_FILE: TYPE_NORMAL dff_sr.bsf FALSE
441
// Retrieval info: GEN_FILE: TYPE_NORMAL dff_sr_inst.v FALSE
442
// Retrieval info: GEN_FILE: TYPE_NORMAL dff_sr_bb.v FALSE
443
// Retrieval info: LIB_FILE: lpm
444
// LATCH
445
// For targtes not supporting LATCH use dff_sr with clk=1 and data=1
446 18 unneback
module vl_latch ( d, le, q, clk);
447 6 unneback
input d, le;
448
output q;
449
input clk;
450
dff_sr i0 (.aclr(), .aset(), .clock(1'b1), .data(1'b1), .q(q));
451
endmodule
452 18 unneback
module vl_shreg ( d, q, clk, rst);
453 17 unneback
parameter depth = 10;
454
input d;
455
output q;
456
input clk, rst;
457
reg [1:depth] dffs;
458
always @ (posedge clk or posedge rst)
459
if (rst)
460
    dffs <= {depth{1'b0}};
461
else
462
    dffs <= {d,dffs[1:depth-1]};
463
assign q = dffs[depth];
464
endmodule
465 18 unneback
module vl_shreg_ce ( d, ce, q, clk, rst);
466 17 unneback
parameter depth = 10;
467
input d, ce;
468
output q;
469
input clk, rst;
470
reg [1:depth] dffs;
471
always @ (posedge clk or posedge rst)
472
if (rst)
473
    dffs <= {depth{1'b0}};
474
else
475
    if (ce)
476
        dffs <= {d,dffs[1:depth-1]};
477
assign q = dffs[depth];
478
endmodule
479 18 unneback
module vl_delay ( d, q, clk, rst);
480 15 unneback
parameter depth = 10;
481
input d;
482
output q;
483
input clk, rst;
484
reg [1:depth] dffs;
485
always @ (posedge clk or posedge rst)
486
if (rst)
487
    dffs <= {depth{1'b0}};
488
else
489
    dffs <= {d,dffs[1:depth-1]};
490
assign q = dffs[depth];
491
endmodule
492 18 unneback
module vl_delay_emptyflag ( d, q, emptyflag, clk, rst);
493 17 unneback
parameter depth = 10;
494
input d;
495
output q, emptyflag;
496
input clk, rst;
497
reg [1:depth] dffs;
498
always @ (posedge clk or posedge rst)
499
if (rst)
500
    dffs <= {depth{1'b0}};
501
else
502
    dffs <= {d,dffs[1:depth-1]};
503
assign q = dffs[depth];
504
assign emptyflag = !(|dffs);
505
endmodule
506 98 unneback
module vl_pulse2toggle ( pl, q, clk, rst);
507 94 unneback
input pl;
508 98 unneback
output reg q;
509 94 unneback
input clk, rst;
510
always @ (posedge clk or posedge rst)
511
if (rst)
512
    q <= 1'b0;
513
else
514
    q <= pl ^ q;
515
endmodule
516 98 unneback
module vl_toggle2pulse (d, pl, clk, rst);
517 94 unneback
input d;
518
output pl;
519
input clk, rst;
520
reg dff;
521
always @ (posedge clk or posedge rst)
522
if (rst)
523
    dff <= 1'b0;
524
else
525
    dff <= d;
526 98 unneback
assign pl = d ^ dff;
527 94 unneback
endmodule
528
module vl_synchronizer (d, q, clk, rst);
529
input d;
530
output reg q;
531 116 unneback
input clk, rst;
532 94 unneback
reg dff;
533
always @ (posedge clk or posedge rst)
534
if (rst)
535 100 unneback
    {q,dff} <= 2'b00;
536 94 unneback
else
537 100 unneback
    {q,dff} <= {dff,d};
538 94 unneback
endmodule
539 97 unneback
module vl_cdc ( start_pl, take_it_pl, take_it_grant_pl, got_it_pl, clk_src, rst_src, clk_dst, rst_dst);
540 94 unneback
input start_pl;
541
output take_it_pl;
542
input take_it_grant_pl; // note: connect to take_it_pl to generate automatic ack
543
output got_it_pl;
544
input clk_src, rst_src;
545
input clk_dst, rst_dst;
546
wire take_it_tg, take_it_tg_sync;
547
wire got_it_tg, got_it_tg_sync;
548
// src -> dst
549
vl_pulse2toggle p2t0 (
550
    .pl(start_pl),
551
    .q(take_it_tg),
552
    .clk(clk_src),
553
    .rst(rst_src));
554
vl_synchronizer sync0 (
555
    .d(take_it_tg),
556
    .q(take_it_tg_sync),
557
    .clk(clk_dst),
558
    .rst(rst_dst));
559
vl_toggle2pulse t2p0 (
560 100 unneback
    .d(take_it_tg_sync),
561 94 unneback
    .pl(take_it_pl),
562
    .clk(clk_dst),
563
    .rst(rst_dst));
564
// dst -> src
565 98 unneback
vl_pulse2toggle p2t1 (
566 94 unneback
    .pl(take_it_grant_pl),
567
    .q(got_it_tg),
568
    .clk(clk_dst),
569
    .rst(rst_dst));
570
vl_synchronizer sync1 (
571
    .d(got_it_tg),
572
    .q(got_it_tg_sync),
573
    .clk(clk_src),
574
    .rst(rst_src));
575
vl_toggle2pulse t2p1 (
576 100 unneback
    .d(got_it_tg_sync),
577 94 unneback
    .pl(got_it_pl),
578
    .clk(clk_src),
579
    .rst(rst_src));
580
endmodule
581 6 unneback
//////////////////////////////////////////////////////////////////////
582
////                                                              ////
583 18 unneback
////  Logic functions                                             ////
584
////                                                              ////
585
////  Description                                                 ////
586
////  Logic functions such as multiplexers                        ////
587
////                                                              ////
588
////                                                              ////
589
////  To Do:                                                      ////
590
////   -                                                          ////
591
////                                                              ////
592
////  Author(s):                                                  ////
593
////      - Michael Unneback, unneback@opencores.org              ////
594
////        ORSoC AB                                              ////
595
////                                                              ////
596
//////////////////////////////////////////////////////////////////////
597
////                                                              ////
598
//// Copyright (C) 2010 Authors and OPENCORES.ORG                 ////
599
////                                                              ////
600
//// This source file may be used and distributed without         ////
601
//// restriction provided that this copyright statement is not    ////
602
//// removed from the file and that any derivative work contains  ////
603
//// the original copyright notice and the associated disclaimer. ////
604
////                                                              ////
605
//// This source file is free software; you can redistribute it   ////
606
//// and/or modify it under the terms of the GNU Lesser General   ////
607
//// Public License as published by the Free Software Foundation; ////
608
//// either version 2.1 of the License, or (at your option) any   ////
609
//// later version.                                               ////
610
////                                                              ////
611
//// This source is distributed in the hope that it will be       ////
612
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
613
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
614
//// PURPOSE.  See the GNU Lesser General Public License for more ////
615
//// details.                                                     ////
616
////                                                              ////
617
//// You should have received a copy of the GNU Lesser General    ////
618
//// Public License along with this source; if not, download it   ////
619
//// from http://www.opencores.org/lgpl.shtml                     ////
620
////                                                              ////
621
//////////////////////////////////////////////////////////////////////
622 36 unneback
module vl_mux_andor ( a, sel, dout);
623
parameter width = 32;
624
parameter nr_of_ports = 4;
625
input [nr_of_ports*width-1:0] a;
626
input [nr_of_ports-1:0] sel;
627
output reg [width-1:0] dout;
628 38 unneback
integer i,j;
629 36 unneback
always @ (a, sel)
630
begin
631
    dout = a[width-1:0] & {width{sel[0]}};
632 42 unneback
    for (i=1;i<nr_of_ports;i=i+1)
633
        for (j=0;j<width;j=j+1)
634
            dout[j] = (a[i*width + j] & sel[i]) | dout[j];
635 36 unneback
end
636
endmodule
637 34 unneback
module vl_mux2_andor ( a1, a0, sel, dout);
638
parameter width = 32;
639 35 unneback
localparam nr_of_ports = 2;
640 34 unneback
input [width-1:0] a1, a0;
641
input [nr_of_ports-1:0] sel;
642
output [width-1:0] dout;
643 36 unneback
vl_mux_andor
644 38 unneback
    # ( .width(width), .nr_of_ports(nr_of_ports))
645 36 unneback
    mux0( .a({a1,a0}), .sel(sel), .dout(dout));
646 34 unneback
endmodule
647
module vl_mux3_andor ( a2, a1, a0, sel, dout);
648
parameter width = 32;
649 35 unneback
localparam nr_of_ports = 3;
650 34 unneback
input [width-1:0] a2, a1, a0;
651
input [nr_of_ports-1:0] sel;
652
output [width-1:0] dout;
653 36 unneback
vl_mux_andor
654 38 unneback
    # ( .width(width), .nr_of_ports(nr_of_ports))
655 36 unneback
    mux0( .a({a2,a1,a0}), .sel(sel), .dout(dout));
656 34 unneback
endmodule
657 18 unneback
module vl_mux4_andor ( a3, a2, a1, a0, sel, dout);
658
parameter width = 32;
659 35 unneback
localparam nr_of_ports = 4;
660 18 unneback
input [width-1:0] a3, a2, a1, a0;
661
input [nr_of_ports-1:0] sel;
662 22 unneback
output [width-1:0] dout;
663 36 unneback
vl_mux_andor
664 38 unneback
    # ( .width(width), .nr_of_ports(nr_of_ports))
665 36 unneback
    mux0( .a({a3,a2,a1,a0}), .sel(sel), .dout(dout));
666 18 unneback
endmodule
667
module vl_mux5_andor ( a4, a3, a2, a1, a0, sel, dout);
668
parameter width = 32;
669 35 unneback
localparam nr_of_ports = 5;
670 18 unneback
input [width-1:0] a4, a3, a2, a1, a0;
671
input [nr_of_ports-1:0] sel;
672 22 unneback
output [width-1:0] dout;
673 36 unneback
vl_mux_andor
674 38 unneback
    # ( .width(width), .nr_of_ports(nr_of_ports))
675 36 unneback
    mux0( .a({a4,a3,a2,a1,a0}), .sel(sel), .dout(dout));
676 18 unneback
endmodule
677
module vl_mux6_andor ( a5, a4, a3, a2, a1, a0, sel, dout);
678
parameter width = 32;
679 35 unneback
localparam nr_of_ports = 6;
680 18 unneback
input [width-1:0] a5, a4, a3, a2, a1, a0;
681
input [nr_of_ports-1:0] sel;
682 22 unneback
output [width-1:0] dout;
683 36 unneback
vl_mux_andor
684 38 unneback
    # ( .width(width), .nr_of_ports(nr_of_ports))
685 36 unneback
    mux0( .a({a5,a4,a3,a2,a1,a0}), .sel(sel), .dout(dout));
686 18 unneback
endmodule
687 43 unneback
module vl_parity_generate (data, parity);
688
parameter word_size = 32;
689
parameter chunk_size = 8;
690
parameter parity_type = 1'b0; // 0 - even, 1 - odd parity
691
input [word_size-1:0] data;
692
output reg [word_size/chunk_size-1:0] parity;
693
integer i,j;
694
always @ (data)
695
for (i=0;i<word_size/chunk_size;i=i+1) begin
696
    parity[i] = parity_type;
697
    for (j=0;j<chunk_size;j=j+1) begin
698 46 unneback
        parity[i] = data[i*chunk_size+j] ^ parity[i];
699 43 unneback
    end
700
end
701
endmodule
702
module vl_parity_check( data, parity, parity_error);
703
parameter word_size = 32;
704
parameter chunk_size = 8;
705
parameter parity_type = 1'b0; // 0 - even, 1 - odd parity
706
input [word_size-1:0] data;
707
input [word_size/chunk_size-1:0] parity;
708
output parity_error;
709 44 unneback
reg [word_size/chunk_size-1:0] error_flag;
710 43 unneback
integer i,j;
711
always @ (data or parity)
712
for (i=0;i<word_size/chunk_size;i=i+1) begin
713
    error_flag[i] = parity[i] ^ parity_type;
714
    for (j=0;j<chunk_size;j=j+1) begin
715 46 unneback
        error_flag[i] = data[i*chunk_size+j] ^ error_flag[i];
716 43 unneback
    end
717
end
718
assign parity_error = |error_flag;
719
endmodule
720 18 unneback
//////////////////////////////////////////////////////////////////////
721
////                                                              ////
722 44 unneback
////  IO functions                                                ////
723
////                                                              ////
724
////  Description                                                 ////
725
////  IO functions such as IOB flip-flops                         ////
726
////                                                              ////
727
////                                                              ////
728
////  To Do:                                                      ////
729
////   -                                                          ////
730
////                                                              ////
731
////  Author(s):                                                  ////
732
////      - Michael Unneback, unneback@opencores.org              ////
733
////        ORSoC AB                                              ////
734
////                                                              ////
735
//////////////////////////////////////////////////////////////////////
736
////                                                              ////
737
//// Copyright (C) 2010 Authors and OPENCORES.ORG                 ////
738
////                                                              ////
739
//// This source file may be used and distributed without         ////
740
//// restriction provided that this copyright statement is not    ////
741
//// removed from the file and that any derivative work contains  ////
742
//// the original copyright notice and the associated disclaimer. ////
743
////                                                              ////
744
//// This source file is free software; you can redistribute it   ////
745
//// and/or modify it under the terms of the GNU Lesser General   ////
746
//// Public License as published by the Free Software Foundation; ////
747
//// either version 2.1 of the License, or (at your option) any   ////
748
//// later version.                                               ////
749
////                                                              ////
750
//// This source is distributed in the hope that it will be       ////
751
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
752
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
753
//// PURPOSE.  See the GNU Lesser General Public License for more ////
754
//// details.                                                     ////
755
////                                                              ////
756
//// You should have received a copy of the GNU Lesser General    ////
757
//// Public License along with this source; if not, download it   ////
758
//// from http://www.opencores.org/lgpl.shtml                     ////
759
////                                                              ////
760
//////////////////////////////////////////////////////////////////////
761 45 unneback
`timescale 1ns/1ns
762 44 unneback
module vl_o_dff (d_i, o_pad, clk, rst);
763
parameter width = 1;
764 45 unneback
parameter reset_value = {width{1'b0}};
765
input  [width-1:0]  d_i;
766 44 unneback
output [width-1:0] o_pad;
767
input clk, rst;
768 60 unneback
wire [width-1:0] d_i_int /*synthesis syn_keep = 1*/;
769 45 unneback
reg  [width-1:0] o_pad_int;
770 44 unneback
assign d_i_int = d_i;
771
genvar i;
772 45 unneback
generate
773 44 unneback
for (i=0;i<width;i=i+1) begin
774
    always @ (posedge clk or posedge rst)
775
    if (rst)
776 45 unneback
        o_pad_int[i] <= reset_value[i];
777 44 unneback
    else
778 45 unneback
        o_pad_int[i] <= d_i_int[i];
779
    assign #1 o_pad[i] = o_pad_int[i];
780 44 unneback
end
781
endgenerate
782
endmodule
783 45 unneback
`timescale 1ns/1ns
784 44 unneback
module vl_io_dff_oe ( d_i, d_o, oe, io_pad, clk, rst);
785
parameter width = 1;
786
input  [width-1:0] d_o;
787
output reg [width-1:0] d_i;
788
input oe;
789
inout [width-1:0] io_pad;
790
input clk, rst;
791 60 unneback
wire [width-1:0] oe_d /*synthesis syn_keep = 1*/;
792 44 unneback
reg [width-1:0] oe_q;
793
reg [width-1:0] d_o_q;
794
assign oe_d = {width{oe}};
795
genvar i;
796
generate
797
for (i=0;i<width;i=i+1) begin
798
    always @ (posedge clk or posedge rst)
799
    if (rst)
800
        oe_q[i] <= 1'b0;
801
    else
802
        oe_q[i] <= oe_d[i];
803
    always @ (posedge clk or posedge rst)
804
    if (rst)
805
        d_o_q[i] <= 1'b0;
806
    else
807
        d_o_q[i] <= d_o[i];
808
    always @ (posedge clk or posedge rst)
809
    if (rst)
810
        d_i[i] <= 1'b0;
811
    else
812
        d_i[i] <= io_pad[i];
813 45 unneback
    assign #1 io_pad[i] = (oe_q[i]) ? d_o_q[i] : 1'bz;
814 44 unneback
end
815
endgenerate
816
endmodule
817
//////////////////////////////////////////////////////////////////////
818
////                                                              ////
819 6 unneback
////  Versatile counter                                           ////
820
////                                                              ////
821
////  Description                                                 ////
822
////  Versatile counter, a reconfigurable binary, gray or LFSR    ////
823
////  counter                                                     ////
824
////                                                              ////
825
////  To Do:                                                      ////
826
////   - add LFSR with more taps                                  ////
827
////                                                              ////
828
////  Author(s):                                                  ////
829
////      - Michael Unneback, unneback@opencores.org              ////
830
////        ORSoC AB                                              ////
831
////                                                              ////
832
//////////////////////////////////////////////////////////////////////
833
////                                                              ////
834
//// Copyright (C) 2009 Authors and OPENCORES.ORG                 ////
835
////                                                              ////
836
//// This source file may be used and distributed without         ////
837
//// restriction provided that this copyright statement is not    ////
838
//// removed from the file and that any derivative work contains  ////
839
//// the original copyright notice and the associated disclaimer. ////
840
////                                                              ////
841
//// This source file is free software; you can redistribute it   ////
842
//// and/or modify it under the terms of the GNU Lesser General   ////
843
//// Public License as published by the Free Software Foundation; ////
844
//// either version 2.1 of the License, or (at your option) any   ////
845
//// later version.                                               ////
846
////                                                              ////
847
//// This source is distributed in the hope that it will be       ////
848
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
849
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
850
//// PURPOSE.  See the GNU Lesser General Public License for more ////
851
//// details.                                                     ////
852
////                                                              ////
853
//// You should have received a copy of the GNU Lesser General    ////
854
//// Public License along with this source; if not, download it   ////
855
//// from http://www.opencores.org/lgpl.shtml                     ////
856
////                                                              ////
857
//////////////////////////////////////////////////////////////////////
858
// binary counter
859 40 unneback
module vl_cnt_bin_ce (
860
 cke, q, rst, clk);
861 22 unneback
   parameter length = 4;
862 6 unneback
   input cke;
863
   output [length:1] q;
864
   input rst;
865
   input clk;
866
   parameter clear_value = 0;
867
   parameter set_value = 1;
868
   parameter wrap_value = 0;
869
   parameter level1_value = 15;
870
   reg  [length:1] qi;
871
   wire [length:1] q_next;
872
   assign q_next = qi + {{length-1{1'b0}},1'b1};
873
   always @ (posedge clk or posedge rst)
874
     if (rst)
875
       qi <= {length{1'b0}};
876
     else
877
     if (cke)
878
       qi <= q_next;
879
   assign q = qi;
880
endmodule
881
//////////////////////////////////////////////////////////////////////
882
////                                                              ////
883
////  Versatile counter                                           ////
884
////                                                              ////
885
////  Description                                                 ////
886
////  Versatile counter, a reconfigurable binary, gray or LFSR    ////
887
////  counter                                                     ////
888
////                                                              ////
889
////  To Do:                                                      ////
890
////   - add LFSR with more taps                                  ////
891
////                                                              ////
892
////  Author(s):                                                  ////
893
////      - Michael Unneback, unneback@opencores.org              ////
894
////        ORSoC AB                                              ////
895
////                                                              ////
896
//////////////////////////////////////////////////////////////////////
897
////                                                              ////
898
//// Copyright (C) 2009 Authors and OPENCORES.ORG                 ////
899
////                                                              ////
900
//// This source file may be used and distributed without         ////
901
//// restriction provided that this copyright statement is not    ////
902
//// removed from the file and that any derivative work contains  ////
903
//// the original copyright notice and the associated disclaimer. ////
904
////                                                              ////
905
//// This source file is free software; you can redistribute it   ////
906
//// and/or modify it under the terms of the GNU Lesser General   ////
907
//// Public License as published by the Free Software Foundation; ////
908
//// either version 2.1 of the License, or (at your option) any   ////
909
//// later version.                                               ////
910
////                                                              ////
911
//// This source is distributed in the hope that it will be       ////
912
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
913
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
914
//// PURPOSE.  See the GNU Lesser General Public License for more ////
915
//// details.                                                     ////
916
////                                                              ////
917
//// You should have received a copy of the GNU Lesser General    ////
918
//// Public License along with this source; if not, download it   ////
919
//// from http://www.opencores.org/lgpl.shtml                     ////
920
////                                                              ////
921
//////////////////////////////////////////////////////////////////////
922
// binary counter
923 40 unneback
module vl_cnt_bin_ce_rew_zq_l1 (
924
 cke, rew, zq, level1, rst, clk);
925 6 unneback
   parameter length = 4;
926
   input cke;
927
   input rew;
928 25 unneback
   output reg zq;
929
   output reg level1;
930
   input rst;
931
   input clk;
932
   parameter clear_value = 0;
933
   parameter set_value = 1;
934
   parameter wrap_value = 1;
935
   parameter level1_value = 15;
936 29 unneback
   wire clear;
937 30 unneback
   assign clear = 1'b0;
938 25 unneback
   reg  [length:1] qi;
939
   wire  [length:1] q_next, q_next_fw, q_next_rew;
940
   assign q_next_fw  = qi + {{length-1{1'b0}},1'b1};
941
   assign q_next_rew = qi - {{length-1{1'b0}},1'b1};
942
   assign q_next = rew ? q_next_rew : q_next_fw;
943
   always @ (posedge clk or posedge rst)
944
     if (rst)
945
       qi <= {length{1'b0}};
946
     else
947
     if (cke)
948
       qi <= q_next;
949
   always @ (posedge clk or posedge rst)
950
     if (rst)
951
       zq <= 1'b1;
952
     else
953
     if (cke)
954
       zq <= q_next == {length{1'b0}};
955
    always @ (posedge clk or posedge rst)
956
    if (rst)
957
        level1 <= 1'b0;
958
    else
959
    if (cke)
960 29 unneback
    if (clear)
961
        level1 <= 1'b0;
962
    else if (q_next == level1_value)
963 25 unneback
        level1 <= 1'b1;
964
    else if (qi == level1_value & rew)
965
        level1 <= 1'b0;
966
endmodule
967
//////////////////////////////////////////////////////////////////////
968
////                                                              ////
969
////  Versatile counter                                           ////
970
////                                                              ////
971
////  Description                                                 ////
972
////  Versatile counter, a reconfigurable binary, gray or LFSR    ////
973
////  counter                                                     ////
974
////                                                              ////
975
////  To Do:                                                      ////
976
////   - add LFSR with more taps                                  ////
977
////                                                              ////
978
////  Author(s):                                                  ////
979
////      - Michael Unneback, unneback@opencores.org              ////
980
////        ORSoC AB                                              ////
981
////                                                              ////
982
//////////////////////////////////////////////////////////////////////
983
////                                                              ////
984
//// Copyright (C) 2009 Authors and OPENCORES.ORG                 ////
985
////                                                              ////
986
//// This source file may be used and distributed without         ////
987
//// restriction provided that this copyright statement is not    ////
988
//// removed from the file and that any derivative work contains  ////
989
//// the original copyright notice and the associated disclaimer. ////
990
////                                                              ////
991
//// This source file is free software; you can redistribute it   ////
992
//// and/or modify it under the terms of the GNU Lesser General   ////
993
//// Public License as published by the Free Software Foundation; ////
994
//// either version 2.1 of the License, or (at your option) any   ////
995
//// later version.                                               ////
996
////                                                              ////
997
//// This source is distributed in the hope that it will be       ////
998
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
999
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
1000
//// PURPOSE.  See the GNU Lesser General Public License for more ////
1001
//// details.                                                     ////
1002
////                                                              ////
1003
//// You should have received a copy of the GNU Lesser General    ////
1004
//// Public License along with this source; if not, download it   ////
1005
//// from http://www.opencores.org/lgpl.shtml                     ////
1006
////                                                              ////
1007
//////////////////////////////////////////////////////////////////////
1008
// binary counter
1009 40 unneback
module vl_cnt_bin_ce_rew_q_zq_l1 (
1010
 cke, rew, q, zq, level1, rst, clk);
1011 25 unneback
   parameter length = 4;
1012
   input cke;
1013
   input rew;
1014
   output [length:1] q;
1015
   output reg zq;
1016
   output reg level1;
1017
   input rst;
1018
   input clk;
1019
   parameter clear_value = 0;
1020
   parameter set_value = 1;
1021
   parameter wrap_value = 1;
1022
   parameter level1_value = 15;
1023 29 unneback
   wire clear;
1024 30 unneback
   assign clear = 1'b0;
1025 25 unneback
   reg  [length:1] qi;
1026
   wire  [length:1] q_next, q_next_fw, q_next_rew;
1027
   assign q_next_fw  = qi + {{length-1{1'b0}},1'b1};
1028
   assign q_next_rew = qi - {{length-1{1'b0}},1'b1};
1029
   assign q_next = rew ? q_next_rew : q_next_fw;
1030
   always @ (posedge clk or posedge rst)
1031
     if (rst)
1032
       qi <= {length{1'b0}};
1033
     else
1034
     if (cke)
1035
       qi <= q_next;
1036
   assign q = qi;
1037
   always @ (posedge clk or posedge rst)
1038
     if (rst)
1039
       zq <= 1'b1;
1040
     else
1041
     if (cke)
1042
       zq <= q_next == {length{1'b0}};
1043
    always @ (posedge clk or posedge rst)
1044
    if (rst)
1045
        level1 <= 1'b0;
1046
    else
1047
    if (cke)
1048 29 unneback
    if (clear)
1049
        level1 <= 1'b0;
1050
    else if (q_next == level1_value)
1051 25 unneback
        level1 <= 1'b1;
1052
    else if (qi == level1_value & rew)
1053
        level1 <= 1'b0;
1054
endmodule
1055
//////////////////////////////////////////////////////////////////////
1056
////                                                              ////
1057
////  Versatile counter                                           ////
1058
////                                                              ////
1059
////  Description                                                 ////
1060
////  Versatile counter, a reconfigurable binary, gray or LFSR    ////
1061
////  counter                                                     ////
1062
////                                                              ////
1063
////  To Do:                                                      ////
1064
////   - add LFSR with more taps                                  ////
1065
////                                                              ////
1066
////  Author(s):                                                  ////
1067
////      - Michael Unneback, unneback@opencores.org              ////
1068
////        ORSoC AB                                              ////
1069
////                                                              ////
1070
//////////////////////////////////////////////////////////////////////
1071
////                                                              ////
1072
//// Copyright (C) 2009 Authors and OPENCORES.ORG                 ////
1073
////                                                              ////
1074
//// This source file may be used and distributed without         ////
1075
//// restriction provided that this copyright statement is not    ////
1076
//// removed from the file and that any derivative work contains  ////
1077
//// the original copyright notice and the associated disclaimer. ////
1078
////                                                              ////
1079
//// This source file is free software; you can redistribute it   ////
1080
//// and/or modify it under the terms of the GNU Lesser General   ////
1081
//// Public License as published by the Free Software Foundation; ////
1082
//// either version 2.1 of the License, or (at your option) any   ////
1083
//// later version.                                               ////
1084
////                                                              ////
1085
//// This source is distributed in the hope that it will be       ////
1086
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
1087
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
1088
//// PURPOSE.  See the GNU Lesser General Public License for more ////
1089
//// details.                                                     ////
1090
////                                                              ////
1091
//// You should have received a copy of the GNU Lesser General    ////
1092
//// Public License along with this source; if not, download it   ////
1093
//// from http://www.opencores.org/lgpl.shtml                     ////
1094
////                                                              ////
1095
//////////////////////////////////////////////////////////////////////
1096 75 unneback
// LFSR counter
1097
module vl_cnt_lfsr_ce (
1098
 cke, zq, rst, clk);
1099
   parameter length = 4;
1100
   input cke;
1101
   output reg zq;
1102
   input rst;
1103
   input clk;
1104
   parameter clear_value = 0;
1105
   parameter set_value = 1;
1106
   parameter wrap_value = 0;
1107
   parameter level1_value = 15;
1108
   reg  [length:1] qi;
1109
   reg lfsr_fb;
1110
   wire [length:1] q_next;
1111
   reg [32:1] polynom;
1112
   integer i;
1113
   always @ (qi)
1114
   begin
1115
        case (length)
1116
         2: polynom = 32'b11;                               // 0x3
1117
         3: polynom = 32'b110;                              // 0x6
1118
         4: polynom = 32'b1100;                             // 0xC
1119
         5: polynom = 32'b10100;                            // 0x14
1120
         6: polynom = 32'b110000;                           // 0x30
1121
         7: polynom = 32'b1100000;                          // 0x60
1122
         8: polynom = 32'b10111000;                         // 0xb8
1123
         9: polynom = 32'b100010000;                        // 0x110
1124
        10: polynom = 32'b1001000000;                       // 0x240
1125
        11: polynom = 32'b10100000000;                      // 0x500
1126
        12: polynom = 32'b100000101001;                     // 0x829
1127
        13: polynom = 32'b1000000001100;                    // 0x100C
1128
        14: polynom = 32'b10000000010101;                   // 0x2015
1129
        15: polynom = 32'b110000000000000;                  // 0x6000
1130
        16: polynom = 32'b1101000000001000;                 // 0xD008
1131
        17: polynom = 32'b10010000000000000;                // 0x12000
1132
        18: polynom = 32'b100000010000000000;               // 0x20400
1133
        19: polynom = 32'b1000000000000100011;              // 0x40023
1134
        20: polynom = 32'b10010000000000000000;             // 0x90000
1135
        21: polynom = 32'b101000000000000000000;            // 0x140000
1136
        22: polynom = 32'b1100000000000000000000;           // 0x300000
1137
        23: polynom = 32'b10000100000000000000000;          // 0x420000
1138
        24: polynom = 32'b111000010000000000000000;         // 0xE10000
1139
        25: polynom = 32'b1001000000000000000000000;        // 0x1200000
1140
        26: polynom = 32'b10000000000000000000100011;       // 0x2000023
1141
        27: polynom = 32'b100000000000000000000010011;      // 0x4000013
1142
        28: polynom = 32'b1100100000000000000000000000;     // 0xC800000
1143
        29: polynom = 32'b10100000000000000000000000000;    // 0x14000000
1144
        30: polynom = 32'b100000000000000000000000101001;   // 0x20000029
1145
        31: polynom = 32'b1001000000000000000000000000000;  // 0x48000000
1146
        32: polynom = 32'b10000000001000000000000000000011; // 0x80200003
1147
        default: polynom = 32'b0;
1148
        endcase
1149
        lfsr_fb = qi[length];
1150
        for (i=length-1; i>=1; i=i-1) begin
1151
            if (polynom[i])
1152
                lfsr_fb = lfsr_fb  ~^ qi[i];
1153
        end
1154
    end
1155
   assign q_next = (qi == wrap_value) ? {length{1'b0}} :{qi[length-1:1],lfsr_fb};
1156
   always @ (posedge clk or posedge rst)
1157
     if (rst)
1158
       qi <= {length{1'b0}};
1159
     else
1160
     if (cke)
1161
       qi <= q_next;
1162
   always @ (posedge clk or posedge rst)
1163
     if (rst)
1164
       zq <= 1'b1;
1165
     else
1166
     if (cke)
1167
       zq <= q_next == {length{1'b0}};
1168
endmodule
1169
//////////////////////////////////////////////////////////////////////
1170
////                                                              ////
1171
////  Versatile counter                                           ////
1172
////                                                              ////
1173
////  Description                                                 ////
1174
////  Versatile counter, a reconfigurable binary, gray or LFSR    ////
1175
////  counter                                                     ////
1176
////                                                              ////
1177
////  To Do:                                                      ////
1178
////   - add LFSR with more taps                                  ////
1179
////                                                              ////
1180
////  Author(s):                                                  ////
1181
////      - Michael Unneback, unneback@opencores.org              ////
1182
////        ORSoC AB                                              ////
1183
////                                                              ////
1184
//////////////////////////////////////////////////////////////////////
1185
////                                                              ////
1186
//// Copyright (C) 2009 Authors and OPENCORES.ORG                 ////
1187
////                                                              ////
1188
//// This source file may be used and distributed without         ////
1189
//// restriction provided that this copyright statement is not    ////
1190
//// removed from the file and that any derivative work contains  ////
1191
//// the original copyright notice and the associated disclaimer. ////
1192
////                                                              ////
1193
//// This source file is free software; you can redistribute it   ////
1194
//// and/or modify it under the terms of the GNU Lesser General   ////
1195
//// Public License as published by the Free Software Foundation; ////
1196
//// either version 2.1 of the License, or (at your option) any   ////
1197
//// later version.                                               ////
1198
////                                                              ////
1199
//// This source is distributed in the hope that it will be       ////
1200
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
1201
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
1202
//// PURPOSE.  See the GNU Lesser General Public License for more ////
1203
//// details.                                                     ////
1204
////                                                              ////
1205
//// You should have received a copy of the GNU Lesser General    ////
1206
//// Public License along with this source; if not, download it   ////
1207
//// from http://www.opencores.org/lgpl.shtml                     ////
1208
////                                                              ////
1209
//////////////////////////////////////////////////////////////////////
1210 6 unneback
// GRAY counter
1211 40 unneback
module vl_cnt_gray_ce_bin (
1212
 cke, q, q_bin, rst, clk);
1213 6 unneback
   parameter length = 4;
1214
   input cke;
1215
   output reg [length:1] q;
1216
   output [length:1] q_bin;
1217
   input rst;
1218
   input clk;
1219
   parameter clear_value = 0;
1220
   parameter set_value = 1;
1221
   parameter wrap_value = 8;
1222
   parameter level1_value = 15;
1223
   reg  [length:1] qi;
1224
   wire [length:1] q_next;
1225
   assign q_next = qi + {{length-1{1'b0}},1'b1};
1226
   always @ (posedge clk or posedge rst)
1227
     if (rst)
1228
       qi <= {length{1'b0}};
1229
     else
1230
     if (cke)
1231
       qi <= q_next;
1232
   always @ (posedge clk or posedge rst)
1233
     if (rst)
1234
       q <= {length{1'b0}};
1235
     else
1236
       if (cke)
1237
         q <= (q_next>>1) ^ q_next;
1238
   assign q_bin = qi;
1239
endmodule
1240
//////////////////////////////////////////////////////////////////////
1241
////                                                              ////
1242
////  Versatile library, counters                                 ////
1243
////                                                              ////
1244
////  Description                                                 ////
1245
////  counters                                                    ////
1246
////                                                              ////
1247
////                                                              ////
1248
////  To Do:                                                      ////
1249
////   - add more counters                                        ////
1250
////                                                              ////
1251
////  Author(s):                                                  ////
1252
////      - Michael Unneback, unneback@opencores.org              ////
1253
////        ORSoC AB                                              ////
1254
////                                                              ////
1255
//////////////////////////////////////////////////////////////////////
1256
////                                                              ////
1257
//// Copyright (C) 2010 Authors and OPENCORES.ORG                 ////
1258
////                                                              ////
1259
//// This source file may be used and distributed without         ////
1260
//// restriction provided that this copyright statement is not    ////
1261
//// removed from the file and that any derivative work contains  ////
1262
//// the original copyright notice and the associated disclaimer. ////
1263
////                                                              ////
1264
//// This source file is free software; you can redistribute it   ////
1265
//// and/or modify it under the terms of the GNU Lesser General   ////
1266
//// Public License as published by the Free Software Foundation; ////
1267
//// either version 2.1 of the License, or (at your option) any   ////
1268
//// later version.                                               ////
1269
////                                                              ////
1270
//// This source is distributed in the hope that it will be       ////
1271
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
1272
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
1273
//// PURPOSE.  See the GNU Lesser General Public License for more ////
1274
//// details.                                                     ////
1275
////                                                              ////
1276
//// You should have received a copy of the GNU Lesser General    ////
1277
//// Public License along with this source; if not, download it   ////
1278
//// from http://www.opencores.org/lgpl.shtml                     ////
1279
////                                                              ////
1280
//////////////////////////////////////////////////////////////////////
1281 18 unneback
module vl_cnt_shreg_wrap ( q, rst, clk);
1282 6 unneback
   parameter length = 4;
1283
   output reg [0:length-1] q;
1284
   input rst;
1285
   input clk;
1286
    always @ (posedge clk or posedge rst)
1287
    if (rst)
1288
        q <= {1'b1,{length-1{1'b0}}};
1289
    else
1290
        q <= {q[length-1],q[0:length-2]};
1291
endmodule
1292 18 unneback
module vl_cnt_shreg_ce_wrap ( cke, q, rst, clk);
1293 6 unneback
   parameter length = 4;
1294
   input cke;
1295
   output reg [0:length-1] q;
1296
   input rst;
1297
   input clk;
1298
    always @ (posedge clk or posedge rst)
1299
    if (rst)
1300
        q <= {1'b1,{length-1{1'b0}}};
1301
    else
1302
        if (cke)
1303
            q <= {q[length-1],q[0:length-2]};
1304
endmodule
1305 105 unneback
module vl_cnt_shreg_clear ( clear, q, rst, clk);
1306
   parameter length = 4;
1307
   input clear;
1308
   output reg [0:length-1] q;
1309
   input rst;
1310
   input clk;
1311
    always @ (posedge clk or posedge rst)
1312
    if (rst)
1313
        q <= {1'b1,{length-1{1'b0}}};
1314
    else
1315
        if (clear)
1316
            q <= {1'b1,{length-1{1'b0}}};
1317
        else
1318
            q <= q >> 1;
1319
endmodule
1320 18 unneback
module vl_cnt_shreg_ce_clear ( cke, clear, q, rst, clk);
1321 6 unneback
   parameter length = 4;
1322
   input cke, clear;
1323
   output reg [0:length-1] q;
1324
   input rst;
1325
   input clk;
1326
    always @ (posedge clk or posedge rst)
1327
    if (rst)
1328
        q <= {1'b1,{length-1{1'b0}}};
1329
    else
1330
        if (cke)
1331
            if (clear)
1332
                q <= {1'b1,{length-1{1'b0}}};
1333
            else
1334
                q <= q >> 1;
1335
endmodule
1336 18 unneback
module vl_cnt_shreg_ce_clear_wrap ( cke, clear, q, rst, clk);
1337 6 unneback
   parameter length = 4;
1338
   input cke, clear;
1339
   output reg [0:length-1] q;
1340
   input rst;
1341
   input clk;
1342
    always @ (posedge clk or posedge rst)
1343
    if (rst)
1344
        q <= {1'b1,{length-1{1'b0}}};
1345
    else
1346
        if (cke)
1347
            if (clear)
1348
                q <= {1'b1,{length-1{1'b0}}};
1349
            else
1350
            q <= {q[length-1],q[0:length-2]};
1351
endmodule
1352
//////////////////////////////////////////////////////////////////////
1353
////                                                              ////
1354
////  Versatile library, memories                                 ////
1355
////                                                              ////
1356
////  Description                                                 ////
1357
////  memories                                                    ////
1358
////                                                              ////
1359
////                                                              ////
1360
////  To Do:                                                      ////
1361
////   - add more memory types                                    ////
1362
////                                                              ////
1363
////  Author(s):                                                  ////
1364
////      - Michael Unneback, unneback@opencores.org              ////
1365
////        ORSoC AB                                              ////
1366
////                                                              ////
1367
//////////////////////////////////////////////////////////////////////
1368
////                                                              ////
1369
//// Copyright (C) 2010 Authors and OPENCORES.ORG                 ////
1370
////                                                              ////
1371
//// This source file may be used and distributed without         ////
1372
//// restriction provided that this copyright statement is not    ////
1373
//// removed from the file and that any derivative work contains  ////
1374
//// the original copyright notice and the associated disclaimer. ////
1375
////                                                              ////
1376
//// This source file is free software; you can redistribute it   ////
1377
//// and/or modify it under the terms of the GNU Lesser General   ////
1378
//// Public License as published by the Free Software Foundation; ////
1379
//// either version 2.1 of the License, or (at your option) any   ////
1380
//// later version.                                               ////
1381
////                                                              ////
1382
//// This source is distributed in the hope that it will be       ////
1383
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
1384
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
1385
//// PURPOSE.  See the GNU Lesser General Public License for more ////
1386
//// details.                                                     ////
1387
////                                                              ////
1388
//// You should have received a copy of the GNU Lesser General    ////
1389
//// Public License along with this source; if not, download it   ////
1390
//// from http://www.opencores.org/lgpl.shtml                     ////
1391
////                                                              ////
1392
//////////////////////////////////////////////////////////////////////
1393
/// ROM
1394 7 unneback
module vl_rom_init ( adr, q, clk);
1395
   parameter data_width = 32;
1396
   parameter addr_width = 8;
1397 75 unneback
   parameter mem_size = 1<<addr_width;
1398 7 unneback
   input [(addr_width-1):0]       adr;
1399
   output reg [(data_width-1):0] q;
1400
   input                         clk;
1401 75 unneback
   reg [data_width-1:0] rom [mem_size-1:0];
1402 7 unneback
   parameter memory_file = "vl_rom.vmem";
1403
   initial
1404
     begin
1405
        $readmemh(memory_file, rom);
1406
     end
1407
   always @ (posedge clk)
1408
     q <= rom[adr];
1409
endmodule
1410 6 unneback
// Single port RAM
1411
module vl_ram ( d, adr, we, q, clk);
1412
   parameter data_width = 32;
1413
   parameter addr_width = 8;
1414 75 unneback
   parameter mem_size = 1<<addr_width;
1415 100 unneback
   parameter debug = 0;
1416 6 unneback
   input [(data_width-1):0]      d;
1417
   input [(addr_width-1):0]       adr;
1418
   input                         we;
1419 7 unneback
   output reg [(data_width-1):0] q;
1420 6 unneback
   input                         clk;
1421 98 unneback
   reg [data_width-1:0] ram [mem_size-1:0];
1422 100 unneback
    parameter memory_init = 0;
1423
    parameter memory_file = "vl_ram.vmem";
1424
    generate
1425
    if (memory_init == 1) begin : init_mem
1426
        initial
1427
            $readmemh(memory_file, ram);
1428
   end else if (memory_init == 2) begin : init_zero
1429
        integer k;
1430
        initial
1431
            for (k = 0; k < mem_size; k = k + 1)
1432
                ram[k] = 0;
1433 7 unneback
   end
1434
   endgenerate
1435 100 unneback
    generate
1436
    if (debug==1) begin : debug_we
1437
        always @ (posedge clk)
1438
        if (we)
1439
            $display ("Value %h written at address %h : time %t", d, adr, $time);
1440
    end
1441
    endgenerate
1442 6 unneback
   always @ (posedge clk)
1443
   begin
1444
   if (we)
1445
     ram[adr] <= d;
1446
   q <= ram[adr];
1447
   end
1448
endmodule
1449 91 unneback
module vl_ram_be ( d, adr, be, we, q, clk);
1450 7 unneback
   parameter data_width = 32;
1451 72 unneback
   parameter addr_width = 6;
1452 75 unneback
   parameter mem_size = 1<<addr_width;
1453 7 unneback
   input [(data_width-1):0]      d;
1454
   input [(addr_width-1):0]       adr;
1455 73 unneback
   input [(data_width/8)-1:0]    be;
1456 7 unneback
   input                         we;
1457
   output reg [(data_width-1):0] q;
1458
   input                         clk;
1459 65 unneback
`ifdef SYSTEMVERILOG
1460 95 unneback
    // use a multi-dimensional packed array
1461
    //t o model individual bytes within the word
1462
    logic [data_width/8-1:0][7:0] ram [0:mem_size-1];// # words = 1 << address width
1463 65 unneback
`else
1464 85 unneback
    reg [data_width-1:0] ram [mem_size-1:0];
1465
    wire [data_width/8-1:0] cke;
1466 65 unneback
`endif
1467 100 unneback
    parameter memory_init = 0;
1468
    parameter memory_file = "vl_ram.vmem";
1469
    generate
1470
    if (memory_init == 1) begin : init_mem
1471
        initial
1472
            $readmemh(memory_file, ram);
1473
    end else if (memory_init == 2) begin : init_zero
1474
        integer k;
1475
        initial
1476
            for (k = 0; k < mem_size; k = k + 1)
1477
                ram[k] = 0;
1478
    end
1479 7 unneback
   endgenerate
1480 60 unneback
`ifdef SYSTEMVERILOG
1481
always_ff@(posedge clk)
1482
begin
1483 95 unneback
    if(we) begin
1484 86 unneback
        if(be[3]) ram[adr][3] <= d[31:24];
1485
        if(be[2]) ram[adr][2] <= d[23:16];
1486
        if(be[1]) ram[adr][1] <= d[15:8];
1487
        if(be[0]) ram[adr][0] <= d[7:0];
1488 60 unneback
    end
1489 90 unneback
        q <= ram[adr];
1490 60 unneback
end
1491
`else
1492 85 unneback
assign cke = {data_width/8{we}} & be;
1493 7 unneback
   genvar i;
1494 85 unneback
   generate for (i=0;i<data_width/8;i=i+1) begin : be_ram
1495 7 unneback
      always @ (posedge clk)
1496 85 unneback
      if (cke[i])
1497 7 unneback
        ram[adr][(i+1)*8-1:i*8] <= d[(i+1)*8-1:i*8];
1498
   end
1499
   endgenerate
1500
   always @ (posedge clk)
1501
      q <= ram[adr];
1502 60 unneback
`endif
1503 93 unneback
`ifdef verilator
1504 85 unneback
   // Function to access RAM (for use by Verilator).
1505
   function [31:0] get_mem;
1506
      // verilator public
1507 90 unneback
      input [addr_width-1:0]             addr;
1508 85 unneback
      get_mem = ram[addr];
1509
   endfunction // get_mem
1510
   // Function to write RAM (for use by Verilator).
1511
   function set_mem;
1512
      // verilator public
1513 90 unneback
      input [addr_width-1:0]             addr;
1514
      input [data_width-1:0]             data;
1515 85 unneback
      ram[addr] = data;
1516
   endfunction // set_mem
1517 93 unneback
`endif
1518 7 unneback
endmodule
1519
module vl_dpram_1r1w ( d_a, adr_a, we_a, clk_a, q_b, adr_b, clk_b );
1520 6 unneback
   parameter data_width = 32;
1521
   parameter addr_width = 8;
1522 75 unneback
   parameter mem_size = 1<<addr_width;
1523 6 unneback
   input [(data_width-1):0]      d_a;
1524
   input [(addr_width-1):0]       adr_a;
1525
   input [(addr_width-1):0]       adr_b;
1526
   input                         we_a;
1527 118 unneback
   output reg [(data_width-1):0]          q_b;
1528 6 unneback
   input                         clk_a, clk_b;
1529 119 unneback
   reg [data_width-1:0] ram [0:mem_size-1] ;
1530 100 unneback
    parameter memory_init = 0;
1531
    parameter memory_file = "vl_ram.vmem";
1532
    parameter debug = 0;
1533
    generate
1534
    if (memory_init == 1) begin : init_mem
1535
        initial
1536
            $readmemh(memory_file, ram);
1537
    end else if (memory_init == 2) begin : init_zero
1538
        integer k;
1539
        initial
1540
            for (k = 0; k < mem_size; k = k + 1)
1541
                ram[k] = 0;
1542
    end
1543 7 unneback
   endgenerate
1544 100 unneback
    generate
1545
    if (debug==1) begin : debug_we
1546
        always @ (posedge clk_a)
1547
        if (we_a)
1548
            $display ("Debug: Value %h written at address %h : time %t", d_a, adr_a, $time);
1549
    end
1550
    endgenerate
1551 6 unneback
   always @ (posedge clk_a)
1552
   if (we_a)
1553
     ram[adr_a] <= d_a;
1554
   always @ (posedge clk_b)
1555 118 unneback
      q_b = ram[adr_b];
1556 6 unneback
endmodule
1557 7 unneback
module vl_dpram_2r1w ( d_a, q_a, adr_a, we_a, clk_a, q_b, adr_b, clk_b );
1558 6 unneback
   parameter data_width = 32;
1559
   parameter addr_width = 8;
1560 75 unneback
   parameter mem_size = 1<<addr_width;
1561 6 unneback
   input [(data_width-1):0]      d_a;
1562
   input [(addr_width-1):0]       adr_a;
1563
   input [(addr_width-1):0]       adr_b;
1564
   input                         we_a;
1565
   output [(data_width-1):0]      q_b;
1566
   output reg [(data_width-1):0] q_a;
1567
   input                         clk_a, clk_b;
1568
   reg [(data_width-1):0]         q_b;
1569 119 unneback
   reg [data_width-1:0] ram [0:mem_size-1] ;
1570 100 unneback
    parameter memory_init = 0;
1571
    parameter memory_file = "vl_ram.vmem";
1572
    parameter debug = 0;
1573
    generate
1574
    if (memory_init == 1) begin : init_mem
1575
        initial
1576
            $readmemh(memory_file, ram);
1577
    end else if (memory_init == 2) begin : init_zero
1578
        integer k;
1579
        initial
1580
            for (k = 0; k < mem_size; k = k + 1)
1581
                ram[k] = 0;
1582
    end
1583 7 unneback
   endgenerate
1584 100 unneback
    generate
1585
    if (debug==1) begin : debug_we
1586
        always @ (posedge clk_a)
1587
        if (we_a)
1588
            $display ("Debug: Value %h written at address %h : time %t", d_a, adr_a, $time);
1589
    end
1590
    endgenerate
1591 6 unneback
   always @ (posedge clk_a)
1592
     begin
1593
        q_a <= ram[adr_a];
1594
        if (we_a)
1595
             ram[adr_a] <= d_a;
1596
     end
1597
   always @ (posedge clk_b)
1598
          q_b <= ram[adr_b];
1599
endmodule
1600 100 unneback
module vl_dpram_1r2w ( d_a, q_a, adr_a, we_a, clk_a, d_b, adr_b, we_b, clk_b );
1601
   parameter data_width = 32;
1602
   parameter addr_width = 8;
1603
   parameter mem_size = 1<<addr_width;
1604
   input [(data_width-1):0]      d_a;
1605
   input [(addr_width-1):0]       adr_a;
1606
   input [(addr_width-1):0]       adr_b;
1607
   input                         we_a;
1608
   input [(data_width-1):0]       d_b;
1609
   output reg [(data_width-1):0] q_a;
1610
   input                         we_b;
1611
   input                         clk_a, clk_b;
1612
   reg [(data_width-1):0]         q_b;
1613 119 unneback
   reg [data_width-1:0] ram [0:mem_size-1] ;
1614 100 unneback
    parameter memory_init = 0;
1615
    parameter memory_file = "vl_ram.vmem";
1616
    parameter debug = 0;
1617
    generate
1618
    if (memory_init == 1) begin : init_mem
1619
        initial
1620
            $readmemh(memory_file, ram);
1621
    end else if (memory_init == 2) begin : init_zero
1622
        integer k;
1623
        initial
1624
            for (k = 0; k < mem_size; k = k + 1)
1625
                ram[k] = 0;
1626
    end
1627
   endgenerate
1628
    generate
1629
    if (debug==1) begin : debug_we
1630
        always @ (posedge clk_a)
1631
        if (we_a)
1632
            $display ("Debug: Value %h written at address %h : time %t", d_a, adr_a, $time);
1633
        always @ (posedge clk_b)
1634
        if (we_b)
1635
            $display ("Debug: Value %h written at address %h : time %t", d_b, adr_b, $time);
1636
    end
1637
    endgenerate
1638
   always @ (posedge clk_a)
1639
     begin
1640
        q_a <= ram[adr_a];
1641
        if (we_a)
1642
             ram[adr_a] <= d_a;
1643
     end
1644
   always @ (posedge clk_b)
1645
     begin
1646
        if (we_b)
1647
          ram[adr_b] <= d_b;
1648
     end
1649
endmodule
1650 7 unneback
module vl_dpram_2r2w ( d_a, q_a, adr_a, we_a, clk_a, d_b, q_b, adr_b, we_b, clk_b );
1651 6 unneback
   parameter data_width = 32;
1652
   parameter addr_width = 8;
1653 75 unneback
   parameter mem_size = 1<<addr_width;
1654 6 unneback
   input [(data_width-1):0]      d_a;
1655
   input [(addr_width-1):0]       adr_a;
1656
   input [(addr_width-1):0]       adr_b;
1657
   input                         we_a;
1658
   output [(data_width-1):0]      q_b;
1659
   input [(data_width-1):0]       d_b;
1660
   output reg [(data_width-1):0] q_a;
1661
   input                         we_b;
1662
   input                         clk_a, clk_b;
1663
   reg [(data_width-1):0]         q_b;
1664 119 unneback
   reg [data_width-1:0] ram [0:mem_size-1] ;
1665 100 unneback
    parameter memory_init = 0;
1666
    parameter memory_file = "vl_ram.vmem";
1667
    parameter debug = 0;
1668
    generate
1669
    if (memory_init) begin : init_mem
1670
        initial
1671
            $readmemh(memory_file, ram);
1672
    end else if (memory_init == 2) begin : init_zero
1673
        integer k;
1674
        initial
1675
            for (k = 0; k < mem_size; k = k + 1)
1676
                ram[k] = 0;
1677
    end
1678 7 unneback
   endgenerate
1679 100 unneback
    generate
1680
    if (debug==1) begin : debug_we
1681
        always @ (posedge clk_a)
1682
        if (we_a)
1683
            $display ("Debug: Value %h written at address %h : time %t", d_a, adr_a, $time);
1684
        always @ (posedge clk_b)
1685
        if (we_b)
1686
            $display ("Debug: Value %h written at address %h : time %t", d_b, adr_b, $time);
1687
    end
1688
    endgenerate
1689 6 unneback
   always @ (posedge clk_a)
1690
     begin
1691
        q_a <= ram[adr_a];
1692
        if (we_a)
1693
             ram[adr_a] <= d_a;
1694
     end
1695
   always @ (posedge clk_b)
1696
     begin
1697
        q_b <= ram[adr_b];
1698
        if (we_b)
1699
          ram[adr_b] <= d_b;
1700
     end
1701
endmodule
1702 92 unneback
module vl_dpram_be_2r2w ( d_a, q_a, adr_a, be_a, we_a, clk_a, d_b, q_b, adr_b, be_b, we_b, clk_b );
1703 75 unneback
   parameter a_data_width = 32;
1704
   parameter a_addr_width = 8;
1705 95 unneback
   parameter b_data_width = 64; //a_data_width;
1706 124 unneback
   //localparam b_addr_width = a_data_width * a_addr_width / b_data_width;
1707
   localparam b_addr_width =
1708 125 unneback
        (a_data_width==b_data_width) ? a_addr_width :
1709
        (a_data_width==b_data_width*2) ? a_addr_width+1 :
1710
        (a_data_width==b_data_width*4) ? a_addr_width+2 :
1711
        (a_data_width==b_data_width*8) ? a_addr_width+3 :
1712
        (a_data_width==b_data_width*16) ? a_addr_width+4 :
1713
        (a_data_width==b_data_width*32) ? a_addr_width+5 :
1714
        (a_data_width==b_data_width/2) ? a_addr_width-1 :
1715
        (a_data_width==b_data_width/4) ? a_addr_width-2 :
1716
        (a_data_width==b_data_width/8) ? a_addr_width-3 :
1717
        (a_data_width==b_data_width/16) ? a_addr_width-4 :
1718
        (a_data_width==b_data_width/32) ? a_addr_width-5 : 0;
1719 95 unneback
   localparam ratio = (a_addr_width>b_addr_width) ? (a_addr_width/b_addr_width) : (b_addr_width/a_addr_width);
1720
   parameter mem_size = (a_addr_width>b_addr_width) ? (1<<b_addr_width) : (1<<a_addr_width);
1721 100 unneback
   parameter memory_init = 0;
1722 95 unneback
   parameter memory_file = "vl_ram.vmem";
1723 100 unneback
   parameter debug = 0;
1724 75 unneback
   input [(a_data_width-1):0]      d_a;
1725 91 unneback
   input [(a_addr_width-1):0]       adr_a;
1726
   input [(a_data_width/8-1):0]    be_a;
1727
   input                           we_a;
1728 75 unneback
   output reg [(a_data_width-1):0] q_a;
1729 91 unneback
   input [(b_data_width-1):0]       d_b;
1730
   input [(b_addr_width-1):0]       adr_b;
1731 92 unneback
   input [(b_data_width/8-1):0]    be_b;
1732
   input                           we_b;
1733
   output reg [(b_data_width-1):0]          q_b;
1734 91 unneback
   input                           clk_a, clk_b;
1735 100 unneback
    generate
1736
    if (debug==1) begin : debug_we
1737
        always @ (posedge clk_a)
1738
        if (we_a)
1739
            $display ("Debug: Value %h written at address %h : time %t", d_a, adr_a, $time);
1740
        always @ (posedge clk_b)
1741
        if (we_b)
1742
            $display ("Debug: Value %h written at address %h : time %t", d_b, adr_b, $time);
1743
    end
1744
    endgenerate
1745 91 unneback
`ifdef SYSTEMVERILOG
1746
// use a multi-dimensional packed array
1747
//to model individual bytes within the word
1748 75 unneback
generate
1749 91 unneback
if (a_data_width==32 & b_data_width==32) begin : dpram_3232
1750 98 unneback
    logic [0:3][7:0] ram [0:mem_size-1] ;
1751 95 unneback
    initial
1752 100 unneback
        if (memory_init==1)
1753 95 unneback
            $readmemh(memory_file, ram);
1754 100 unneback
    integer k;
1755
    initial
1756
        if (memory_init==2)
1757
            for (k = 0; k < mem_size; k = k + 1)
1758
                ram[k] = 0;
1759 91 unneback
    always_ff@(posedge clk_a)
1760
    begin
1761
        if(we_a) begin
1762 100 unneback
            if(be_a[3]) ram[adr_a][0] <= d_a[31:24];
1763
            if(be_a[2]) ram[adr_a][1] <= d_a[23:16];
1764
            if(be_a[1]) ram[adr_a][2] <= d_a[15:8];
1765
            if(be_a[0]) ram[adr_a][3] <= d_a[7:0];
1766 91 unneback
        end
1767
    end
1768 92 unneback
    always@(posedge clk_a)
1769
        q_a = ram[adr_a];
1770 91 unneback
    always_ff@(posedge clk_b)
1771 92 unneback
    begin
1772
        if(we_b) begin
1773 100 unneback
            if(be_b[3]) ram[adr_b][0] <= d_b[31:24];
1774
            if(be_b[2]) ram[adr_b][1] <= d_b[23:16];
1775
            if(be_b[1]) ram[adr_b][2] <= d_b[15:8];
1776
            if(be_b[0]) ram[adr_b][3] <= d_b[7:0];
1777 92 unneback
        end
1778
    end
1779
    always@(posedge clk_b)
1780
        q_b = ram[adr_b];
1781 75 unneback
end
1782
endgenerate
1783 95 unneback
generate
1784
if (a_data_width==64 & b_data_width==64) begin : dpram_6464
1785 98 unneback
    logic [0:7][7:0] ram [0:mem_size-1] ;
1786 95 unneback
    initial
1787 100 unneback
        if (memory_init==1)
1788 95 unneback
            $readmemh(memory_file, ram);
1789 100 unneback
    integer k;
1790
    initial
1791
        if (memory_init==2)
1792
            for (k = 0; k < mem_size; k = k + 1)
1793
                ram[k] = 0;
1794 95 unneback
    always_ff@(posedge clk_a)
1795
    begin
1796
        if(we_a) begin
1797
            if(be_a[7]) ram[adr_a][7] <= d_a[63:56];
1798
            if(be_a[6]) ram[adr_a][6] <= d_a[55:48];
1799
            if(be_a[5]) ram[adr_a][5] <= d_a[47:40];
1800
            if(be_a[4]) ram[adr_a][4] <= d_a[39:32];
1801
            if(be_a[3]) ram[adr_a][3] <= d_a[31:24];
1802
            if(be_a[2]) ram[adr_a][2] <= d_a[23:16];
1803
            if(be_a[1]) ram[adr_a][1] <= d_a[15:8];
1804
            if(be_a[0]) ram[adr_a][0] <= d_a[7:0];
1805
        end
1806
    end
1807
    always@(posedge clk_a)
1808
        q_a = ram[adr_a];
1809
    always_ff@(posedge clk_b)
1810
    begin
1811
        if(we_b) begin
1812
            if(be_b[7]) ram[adr_b][7] <= d_b[63:56];
1813
            if(be_b[6]) ram[adr_b][6] <= d_b[55:48];
1814
            if(be_b[5]) ram[adr_b][5] <= d_b[47:40];
1815
            if(be_b[4]) ram[adr_b][4] <= d_b[39:32];
1816
            if(be_b[3]) ram[adr_b][3] <= d_b[31:24];
1817
            if(be_b[2]) ram[adr_b][2] <= d_b[23:16];
1818
            if(be_b[1]) ram[adr_b][1] <= d_b[15:8];
1819
            if(be_b[0]) ram[adr_b][0] <= d_b[7:0];
1820
        end
1821
    end
1822
    always@(posedge clk_b)
1823
        q_b = ram[adr_b];
1824
end
1825
endgenerate
1826
generate
1827
if (a_data_width==32 & b_data_width==16) begin : dpram_3216
1828
logic [31:0] temp;
1829 128 unneback
vl_dpram_be_2r2w # (.a_data_width(32), .b_data_width(32), .a_addr_width(a_addr_width), .mem_size(mem_size), .memory_init(memory_init), .memory_file(memory_file))
1830
dpram3232 (
1831 95 unneback
    .d_a(d_a),
1832
    .q_a(q_a),
1833
    .adr_a(adr_a),
1834
    .be_a(be_a),
1835
    .we_a(we_a),
1836
    .clk_a(clk_a),
1837
    .d_b({d_b,d_b}),
1838
    .q_b(temp),
1839 128 unneback
    .adr_b(adr_b[b_addr_width-1:1]),
1840 95 unneback
    .be_b({be_b,be_b} & {{2{adr_b[0]}},{2{!adr_b[0]}}}),
1841
    .we_b(we_b),
1842
    .clk_b(clk_b)
1843
);
1844 100 unneback
always @ (adr_b[0] or temp)
1845 95 unneback
    if (adr_b[0])
1846
        q_b = temp[31:16];
1847
    else
1848
        q_b = temp[15:0];
1849
end
1850
endgenerate
1851
generate
1852
if (a_data_width==32 & b_data_width==64) begin : dpram_3264
1853
logic [63:0] temp;
1854 128 unneback
vl_dpram_be_2r2w # (.a_data_width(32), .b_data_width(64), .a_addr_width(a_addr_width), .mem_size(mem_size), .memory_init(memory_init), .memory_file(memory_file))
1855 95 unneback
dpram6464 (
1856
    .d_a({d_a,d_a}),
1857
    .q_a(temp),
1858
    .adr_a(adr_a[a_addr_width-1:1]),
1859
    .be_a({be_a,be_a} & {{4{adr_a[0]}},{4{!adr_a[0]}}}),
1860
    .we_a(we_a),
1861
    .clk_a(clk_a),
1862
    .d_b(d_b),
1863
    .q_b(q_b),
1864
    .adr_b(adr_b),
1865
    .be_b(be_b),
1866
    .we_b(we_b),
1867
    .clk_b(clk_b)
1868
);
1869 100 unneback
always @ (adr_a[0] or temp)
1870 95 unneback
    if (adr_a[0])
1871
        q_a = temp[63:32];
1872
    else
1873
        q_a = temp[31:0];
1874
end
1875
endgenerate
1876 91 unneback
`else
1877 92 unneback
    // This modules requires SystemVerilog
1878 98 unneback
    // at this point anyway
1879 91 unneback
`endif
1880 75 unneback
endmodule
1881 6 unneback
// FIFO
1882 25 unneback
module vl_fifo_1r1w_fill_level_sync (
1883
    d, wr, fifo_full,
1884
    q, rd, fifo_empty,
1885
    fill_level,
1886
    clk, rst
1887
    );
1888
parameter data_width = 18;
1889
parameter addr_width = 4;
1890
// write side
1891
input  [data_width-1:0] d;
1892
input                   wr;
1893
output                  fifo_full;
1894
// read side
1895
output [data_width-1:0] q;
1896
input                   rd;
1897
output                  fifo_empty;
1898
// common
1899
output [addr_width:0]   fill_level;
1900
input rst, clk;
1901
wire [addr_width:1] wadr, radr;
1902
vl_cnt_bin_ce
1903
    # ( .length(addr_width))
1904
    fifo_wr_adr( .cke(wr), .q(wadr), .rst(rst), .clk(clk));
1905
vl_cnt_bin_ce
1906
    # (.length(addr_width))
1907
    fifo_rd_adr( .cke(rd), .q(radr), .rst(rst), .clk(clk));
1908
vl_dpram_1r1w
1909
    # (.data_width(data_width), .addr_width(addr_width))
1910
    dpram ( .d_a(d), .adr_a(wadr), .we_a(wr), .clk_a(clk), .q_b(q), .adr_b(radr), .clk_b(clk));
1911 31 unneback
vl_cnt_bin_ce_rew_q_zq_l1
1912 27 unneback
    # (.length(addr_width+1), .level1_value(1<<addr_width))
1913 25 unneback
    fill_level_cnt( .cke(rd ^ wr), .rew(rd), .q(fill_level), .zq(fifo_empty), .level1(fifo_full), .rst(rst), .clk(clk));
1914
endmodule
1915 27 unneback
// Intended use is two small FIFOs (RX and TX typically) in one FPGA RAM resource
1916
// RAM is supposed to be larger than the two FIFOs
1917
// LFSR counters used adr pointers
1918
module vl_fifo_2r2w_sync_simplex (
1919
    // a side
1920
    a_d, a_wr, a_fifo_full,
1921
    a_q, a_rd, a_fifo_empty,
1922
    a_fill_level,
1923
    // b side
1924
    b_d, b_wr, b_fifo_full,
1925
    b_q, b_rd, b_fifo_empty,
1926
    b_fill_level,
1927
    // common
1928
    clk, rst
1929
    );
1930
parameter data_width = 8;
1931
parameter addr_width = 5;
1932
parameter fifo_full_level = (1<<addr_width)-1;
1933
// a side
1934
input  [data_width-1:0] a_d;
1935
input                   a_wr;
1936
output                  a_fifo_full;
1937
output [data_width-1:0] a_q;
1938
input                   a_rd;
1939
output                  a_fifo_empty;
1940
output [addr_width-1:0] a_fill_level;
1941
// b side
1942
input  [data_width-1:0] b_d;
1943
input                   b_wr;
1944
output                  b_fifo_full;
1945
output [data_width-1:0] b_q;
1946
input                   b_rd;
1947
output                  b_fifo_empty;
1948
output [addr_width-1:0] b_fill_level;
1949
input                   clk;
1950
input                   rst;
1951
// adr_gen
1952
wire [addr_width:1] a_wadr, a_radr;
1953
wire [addr_width:1] b_wadr, b_radr;
1954
// dpram
1955
wire [addr_width:0] a_dpram_adr, b_dpram_adr;
1956
vl_cnt_lfsr_ce
1957
    # ( .length(addr_width))
1958
    fifo_a_wr_adr( .cke(a_wr), .q(a_wadr), .rst(rst), .clk(clk));
1959
vl_cnt_lfsr_ce
1960
    # (.length(addr_width))
1961
    fifo_a_rd_adr( .cke(a_rd), .q(a_radr), .rst(rst), .clk(clk));
1962
vl_cnt_lfsr_ce
1963
    # ( .length(addr_width))
1964
    fifo_b_wr_adr( .cke(b_wr), .q(b_wadr), .rst(rst), .clk(clk));
1965
vl_cnt_lfsr_ce
1966
    # (.length(addr_width))
1967
    fifo_b_rd_adr( .cke(b_rd), .q(b_radr), .rst(rst), .clk(clk));
1968
// mux read or write adr to DPRAM
1969
assign a_dpram_adr = (a_wr) ? {1'b0,a_wadr} : {1'b1,a_radr};
1970
assign b_dpram_adr = (b_wr) ? {1'b1,b_wadr} : {1'b0,b_radr};
1971
vl_dpram_2r2w
1972
    # (.data_width(data_width), .addr_width(addr_width+1))
1973
    dpram ( .d_a(a_d), .q_a(a_q), .adr_a(a_dpram_adr), .we_a(a_wr), .clk_a(a_clk),
1974
            .d_b(b_d), .q_b(b_q), .adr_b(b_dpram_adr), .we_b(b_wr), .clk_b(b_clk));
1975
vl_cnt_bin_ce_rew_zq_l1
1976 28 unneback
    # (.length(addr_width), .level1_value(fifo_full_level))
1977 27 unneback
    a_fill_level_cnt( .cke(a_rd ^ a_wr), .rew(a_rd), .q(a_fill_level), .zq(a_fifo_empty), .level1(a_fifo_full), .rst(rst), .clk(clk));
1978
vl_cnt_bin_ce_rew_zq_l1
1979 28 unneback
    # (.length(addr_width), .level1_value(fifo_full_level))
1980 27 unneback
    b_fill_level_cnt( .cke(b_rd ^ b_wr), .rew(b_rd), .q(b_fill_level), .zq(b_fifo_empty), .level1(b_fifo_full), .rst(rst), .clk(clk));
1981
endmodule
1982 6 unneback
module vl_fifo_cmp_async ( wptr, rptr, fifo_empty, fifo_full, wclk, rclk, rst );
1983 11 unneback
   parameter addr_width = 4;
1984
   parameter N = addr_width-1;
1985 6 unneback
   parameter Q1 = 2'b00;
1986
   parameter Q2 = 2'b01;
1987
   parameter Q3 = 2'b11;
1988
   parameter Q4 = 2'b10;
1989
   parameter going_empty = 1'b0;
1990
   parameter going_full  = 1'b1;
1991
   input [N:0]  wptr, rptr;
1992 14 unneback
   output       fifo_empty;
1993 6 unneback
   output       fifo_full;
1994
   input        wclk, rclk, rst;
1995
   wire direction;
1996
   reg  direction_set, direction_clr;
1997
   wire async_empty, async_full;
1998
   wire fifo_full2;
1999 14 unneback
   wire fifo_empty2;
2000 6 unneback
   // direction_set
2001
   always @ (wptr[N:N-1] or rptr[N:N-1])
2002
     case ({wptr[N:N-1],rptr[N:N-1]})
2003
       {Q1,Q2} : direction_set <= 1'b1;
2004
       {Q2,Q3} : direction_set <= 1'b1;
2005
       {Q3,Q4} : direction_set <= 1'b1;
2006
       {Q4,Q1} : direction_set <= 1'b1;
2007
       default : direction_set <= 1'b0;
2008
     endcase
2009
   // direction_clear
2010
   always @ (wptr[N:N-1] or rptr[N:N-1] or rst)
2011
     if (rst)
2012
       direction_clr <= 1'b1;
2013
     else
2014
       case ({wptr[N:N-1],rptr[N:N-1]})
2015
         {Q2,Q1} : direction_clr <= 1'b1;
2016
         {Q3,Q2} : direction_clr <= 1'b1;
2017
         {Q4,Q3} : direction_clr <= 1'b1;
2018
         {Q1,Q4} : direction_clr <= 1'b1;
2019
         default : direction_clr <= 1'b0;
2020
       endcase
2021 18 unneback
    vl_dff_sr dff_sr_dir( .aclr(direction_clr), .aset(direction_set), .clock(1'b1), .data(1'b1), .q(direction));
2022 6 unneback
   assign async_empty = (wptr == rptr) && (direction==going_empty);
2023
   assign async_full  = (wptr == rptr) && (direction==going_full);
2024 18 unneback
    vl_dff_sr dff_sr_empty0( .aclr(rst), .aset(async_full), .clock(wclk), .data(async_full), .q(fifo_full2));
2025
    vl_dff_sr dff_sr_empty1( .aclr(rst), .aset(async_full), .clock(wclk), .data(fifo_full2), .q(fifo_full));
2026 6 unneback
/*
2027
   always @ (posedge wclk or posedge rst or posedge async_full)
2028
     if (rst)
2029
       {fifo_full, fifo_full2} <= 2'b00;
2030
     else if (async_full)
2031
       {fifo_full, fifo_full2} <= 2'b11;
2032
     else
2033
       {fifo_full, fifo_full2} <= {fifo_full2, async_full};
2034
*/
2035 14 unneback
/*   always @ (posedge rclk or posedge async_empty)
2036 6 unneback
     if (async_empty)
2037
       {fifo_empty, fifo_empty2} <= 2'b11;
2038
     else
2039 14 unneback
       {fifo_empty,fifo_empty2} <= {fifo_empty2,async_empty}; */
2040 18 unneback
    vl_dff # ( .reset_value(1'b1)) dff0 ( .d(async_empty), .q(fifo_empty2), .clk(rclk), .rst(async_empty));
2041
    vl_dff # ( .reset_value(1'b1)) dff1 ( .d(fifo_empty2), .q(fifo_empty),  .clk(rclk), .rst(async_empty));
2042 27 unneback
endmodule // async_compb
2043 6 unneback
module vl_fifo_1r1w_async (
2044
    d, wr, fifo_full, wr_clk, wr_rst,
2045
    q, rd, fifo_empty, rd_clk, rd_rst
2046
    );
2047
parameter data_width = 18;
2048
parameter addr_width = 4;
2049
// write side
2050
input  [data_width-1:0] d;
2051
input                   wr;
2052
output                  fifo_full;
2053
input                   wr_clk;
2054
input                   wr_rst;
2055
// read side
2056
output [data_width-1:0] q;
2057
input                   rd;
2058
output                  fifo_empty;
2059
input                   rd_clk;
2060
input                   rd_rst;
2061
wire [addr_width:1] wadr, wadr_bin, radr, radr_bin;
2062 18 unneback
vl_cnt_gray_ce_bin
2063 6 unneback
    # ( .length(addr_width))
2064
    fifo_wr_adr( .cke(wr), .q(wadr), .q_bin(wadr_bin), .rst(wr_rst), .clk(wr_clk));
2065 18 unneback
vl_cnt_gray_ce_bin
2066 6 unneback
    # (.length(addr_width))
2067 23 unneback
    fifo_rd_adr( .cke(rd), .q(radr), .q_bin(radr_bin), .rst(rd_rst), .clk(rd_clk));
2068 7 unneback
vl_dpram_1r1w
2069 6 unneback
    # (.data_width(data_width), .addr_width(addr_width))
2070
    dpram ( .d_a(d), .adr_a(wadr_bin), .we_a(wr), .clk_a(wr_clk), .q_b(q), .adr_b(radr_bin), .clk_b(rd_clk));
2071
vl_fifo_cmp_async
2072
    # (.addr_width(addr_width))
2073
    cmp ( .wptr(wadr), .rptr(radr), .fifo_empty(fifo_empty), .fifo_full(fifo_full), .wclk(wr_clk), .rclk(rd_clk), .rst(wr_rst) );
2074
endmodule
2075 8 unneback
module vl_fifo_2r2w_async (
2076 6 unneback
    // a side
2077
    a_d, a_wr, a_fifo_full,
2078
    a_q, a_rd, a_fifo_empty,
2079
    a_clk, a_rst,
2080
    // b side
2081
    b_d, b_wr, b_fifo_full,
2082
    b_q, b_rd, b_fifo_empty,
2083
    b_clk, b_rst
2084
    );
2085
parameter data_width = 18;
2086
parameter addr_width = 4;
2087
// a side
2088
input  [data_width-1:0] a_d;
2089
input                   a_wr;
2090
output                  a_fifo_full;
2091
output [data_width-1:0] a_q;
2092
input                   a_rd;
2093
output                  a_fifo_empty;
2094
input                   a_clk;
2095
input                   a_rst;
2096
// b side
2097
input  [data_width-1:0] b_d;
2098
input                   b_wr;
2099
output                  b_fifo_full;
2100
output [data_width-1:0] b_q;
2101
input                   b_rd;
2102
output                  b_fifo_empty;
2103
input                   b_clk;
2104
input                   b_rst;
2105
vl_fifo_1r1w_async # (.data_width(data_width), .addr_width(addr_width))
2106
vl_fifo_1r1w_async_a (
2107
    .d(a_d), .wr(a_wr), .fifo_full(a_fifo_full), .wr_clk(a_clk), .wr_rst(a_rst),
2108
    .q(b_q), .rd(b_rd), .fifo_empty(b_fifo_empty), .rd_clk(b_clk), .rd_rst(b_rst)
2109
    );
2110
vl_fifo_1r1w_async # (.data_width(data_width), .addr_width(addr_width))
2111
vl_fifo_1r1w_async_b (
2112
    .d(b_d), .wr(b_wr), .fifo_full(b_fifo_full), .wr_clk(b_clk), .wr_rst(b_rst),
2113
    .q(a_q), .rd(a_rd), .fifo_empty(a_fifo_empty), .rd_clk(a_clk), .rd_rst(a_rst)
2114
    );
2115
endmodule
2116 8 unneback
module vl_fifo_2r2w_async_simplex (
2117 6 unneback
    // a side
2118
    a_d, a_wr, a_fifo_full,
2119
    a_q, a_rd, a_fifo_empty,
2120
    a_clk, a_rst,
2121
    // b side
2122
    b_d, b_wr, b_fifo_full,
2123
    b_q, b_rd, b_fifo_empty,
2124
    b_clk, b_rst
2125
    );
2126
parameter data_width = 18;
2127
parameter addr_width = 4;
2128
// a side
2129
input  [data_width-1:0] a_d;
2130
input                   a_wr;
2131
output                  a_fifo_full;
2132
output [data_width-1:0] a_q;
2133
input                   a_rd;
2134
output                  a_fifo_empty;
2135
input                   a_clk;
2136
input                   a_rst;
2137
// b side
2138
input  [data_width-1:0] b_d;
2139
input                   b_wr;
2140
output                  b_fifo_full;
2141
output [data_width-1:0] b_q;
2142
input                   b_rd;
2143
output                  b_fifo_empty;
2144
input                   b_clk;
2145
input                   b_rst;
2146
// adr_gen
2147
wire [addr_width:1] a_wadr, a_wadr_bin, a_radr, a_radr_bin;
2148
wire [addr_width:1] b_wadr, b_wadr_bin, b_radr, b_radr_bin;
2149
// dpram
2150
wire [addr_width:0] a_dpram_adr, b_dpram_adr;
2151 18 unneback
vl_cnt_gray_ce_bin
2152 6 unneback
    # ( .length(addr_width))
2153
    fifo_a_wr_adr( .cke(a_wr), .q(a_wadr), .q_bin(a_wadr_bin), .rst(a_rst), .clk(a_clk));
2154 18 unneback
vl_cnt_gray_ce_bin
2155 6 unneback
    # (.length(addr_width))
2156
    fifo_a_rd_adr( .cke(a_rd), .q(a_radr), .q_bin(a_radr_bin), .rst(a_rst), .clk(a_clk));
2157 18 unneback
vl_cnt_gray_ce_bin
2158 6 unneback
    # ( .length(addr_width))
2159
    fifo_b_wr_adr( .cke(b_wr), .q(b_wadr), .q_bin(b_wadr_bin), .rst(b_rst), .clk(b_clk));
2160 18 unneback
vl_cnt_gray_ce_bin
2161 6 unneback
    # (.length(addr_width))
2162
    fifo_b_rd_adr( .cke(b_rd), .q(b_radr), .q_bin(b_radr_bin), .rst(b_rst), .clk(b_clk));
2163
// mux read or write adr to DPRAM
2164
assign a_dpram_adr = (a_wr) ? {1'b0,a_wadr_bin} : {1'b1,a_radr_bin};
2165
assign b_dpram_adr = (b_wr) ? {1'b1,b_wadr_bin} : {1'b0,b_radr_bin};
2166 11 unneback
vl_dpram_2r2w
2167 6 unneback
    # (.data_width(data_width), .addr_width(addr_width+1))
2168
    dpram ( .d_a(a_d), .q_a(a_q), .adr_a(a_dpram_adr), .we_a(a_wr), .clk_a(a_clk),
2169
            .d_b(b_d), .q_b(b_q), .adr_b(b_dpram_adr), .we_b(b_wr), .clk_b(b_clk));
2170 11 unneback
vl_fifo_cmp_async
2171 6 unneback
    # (.addr_width(addr_width))
2172
    cmp1 ( .wptr(a_wadr), .rptr(b_radr), .fifo_empty(b_fifo_empty), .fifo_full(a_fifo_full), .wclk(a_clk), .rclk(b_clk), .rst(a_rst) );
2173 11 unneback
vl_fifo_cmp_async
2174 6 unneback
    # (.addr_width(addr_width))
2175
    cmp2 ( .wptr(b_wadr), .rptr(a_radr), .fifo_empty(a_fifo_empty), .fifo_full(b_fifo_full), .wclk(b_clk), .rclk(a_clk), .rst(b_rst) );
2176
endmodule
2177 48 unneback
module vl_reg_file (
2178
    a1, a2, a3, wd3, we3, rd1, rd2, clk
2179
);
2180
parameter data_width = 32;
2181
parameter addr_width = 5;
2182
input [addr_width-1:0] a1, a2, a3;
2183
input [data_width-1:0] wd3;
2184
input we3;
2185
output [data_width-1:0] rd1, rd2;
2186
input clk;
2187
vl_dpram_1r1w
2188
    # ( .data_width(data_width), .addr_width(addr_width))
2189
    ram1 (
2190
        .d_a(wd3),
2191
        .adr_a(a3),
2192
        .we_a(we3),
2193
        .clk_a(clk),
2194
        .q_b(rd1),
2195
        .adr_b(a1),
2196
        .clk_b(clk) );
2197
vl_dpram_1r1w
2198
    # ( .data_width(data_width), .addr_width(addr_width))
2199
    ram2 (
2200
        .d_a(wd3),
2201
        .adr_a(a3),
2202
        .we_a(we3),
2203
        .clk_a(clk),
2204
        .q_b(rd2),
2205
        .adr_b(a2),
2206
        .clk_b(clk) );
2207
endmodule
2208 12 unneback
//////////////////////////////////////////////////////////////////////
2209
////                                                              ////
2210
////  Versatile library, wishbone stuff                           ////
2211
////                                                              ////
2212
////  Description                                                 ////
2213
////  Wishbone compliant modules                                  ////
2214
////                                                              ////
2215
////                                                              ////
2216
////  To Do:                                                      ////
2217
////   -                                                          ////
2218
////                                                              ////
2219
////  Author(s):                                                  ////
2220
////      - Michael Unneback, unneback@opencores.org              ////
2221
////        ORSoC AB                                              ////
2222
////                                                              ////
2223
//////////////////////////////////////////////////////////////////////
2224
////                                                              ////
2225
//// Copyright (C) 2010 Authors and OPENCORES.ORG                 ////
2226
////                                                              ////
2227
//// This source file may be used and distributed without         ////
2228
//// restriction provided that this copyright statement is not    ////
2229
//// removed from the file and that any derivative work contains  ////
2230
//// the original copyright notice and the associated disclaimer. ////
2231
////                                                              ////
2232
//// This source file is free software; you can redistribute it   ////
2233
//// and/or modify it under the terms of the GNU Lesser General   ////
2234
//// Public License as published by the Free Software Foundation; ////
2235
//// either version 2.1 of the License, or (at your option) any   ////
2236
//// later version.                                               ////
2237
////                                                              ////
2238
//// This source is distributed in the hope that it will be       ////
2239
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
2240
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
2241
//// PURPOSE.  See the GNU Lesser General Public License for more ////
2242
//// details.                                                     ////
2243
////                                                              ////
2244
//// You should have received a copy of the GNU Lesser General    ////
2245
//// Public License along with this source; if not, download it   ////
2246
//// from http://www.opencores.org/lgpl.shtml                     ////
2247
////                                                              ////
2248
//////////////////////////////////////////////////////////////////////
2249
`timescale 1ns/1ns
2250 85 unneback
module vl_wb_adr_inc ( cyc_i, stb_i, cti_i, bte_i, adr_i, we_i, ack_o, adr_o, clk, rst);
2251 83 unneback
parameter adr_width = 10;
2252
parameter max_burst_width = 4;
2253 85 unneback
input cyc_i, stb_i, we_i;
2254 83 unneback
input [2:0] cti_i;
2255
input [1:0] bte_i;
2256
input [adr_width-1:0] adr_i;
2257
output [adr_width-1:0] adr_o;
2258
output ack_o;
2259
input clk, rst;
2260
reg [adr_width-1:0] adr;
2261 90 unneback
wire [max_burst_width-1:0] to_adr;
2262 91 unneback
reg [max_burst_width-1:0] last_adr;
2263 92 unneback
reg last_cycle;
2264
localparam idle_or_eoc = 1'b0;
2265
localparam cyc_or_ws   = 1'b1;
2266 91 unneback
always @ (posedge clk or posedge rst)
2267
if (rst)
2268
    last_adr <= {max_burst_width{1'b0}};
2269
else
2270
    if (stb_i)
2271 92 unneback
        last_adr <=adr_o[max_burst_width-1:0];
2272 83 unneback
generate
2273
if (max_burst_width==0) begin : inst_0
2274 97 unneback
        reg ack_o;
2275
        assign adr_o = adr_i;
2276
        always @ (posedge clk or posedge rst)
2277
        if (rst)
2278
            ack_o <= 1'b0;
2279
        else
2280
            ack_o <= cyc_i & stb_i & !ack_o;
2281 83 unneback
end else begin
2282
    always @ (posedge clk or posedge rst)
2283
    if (rst)
2284 92 unneback
        last_cycle <= idle_or_eoc;
2285 83 unneback
    else
2286 92 unneback
        last_cycle <= (!cyc_i) ? idle_or_eoc : //idle
2287
                      (cyc_i & ack_o & (cti_i==3'b000 | cti_i==3'b111)) ? idle_or_eoc : // eoc
2288
                      (cyc_i & !stb_i) ? cyc_or_ws : //ws
2289
                      cyc_or_ws; // cyc
2290
    assign to_adr = (last_cycle==idle_or_eoc) ? adr_i[max_burst_width-1:0] : adr[max_burst_width-1:0];
2291 85 unneback
    assign adr_o[max_burst_width-1:0] = (we_i) ? adr_i[max_burst_width-1:0] :
2292 91 unneback
                                        (!stb_i) ? last_adr :
2293 92 unneback
                                        (last_cycle==idle_or_eoc) ? adr_i[max_burst_width-1:0] :
2294 85 unneback
                                        adr[max_burst_width-1:0];
2295 92 unneback
    assign ack_o = (last_cycle==cyc_or_ws) & stb_i;
2296 83 unneback
end
2297
endgenerate
2298
generate
2299
if (max_burst_width==2) begin : inst_2
2300
    always @ (posedge clk or posedge rst)
2301
    if (rst)
2302
        adr <= 2'h0;
2303
    else
2304
        if (cyc_i & stb_i)
2305
            adr[1:0] <= to_adr[1:0] + 2'd1;
2306
        else
2307
            adr <= to_adr[1:0];
2308
end
2309
endgenerate
2310
generate
2311
if (max_burst_width==3) begin : inst_3
2312
    always @ (posedge clk or posedge rst)
2313
    if (rst)
2314
        adr <= 3'h0;
2315
    else
2316
        if (cyc_i & stb_i)
2317
            case (bte_i)
2318
            2'b01: adr[2:0] <= {to_adr[2],to_adr[1:0] + 2'd1};
2319
            default: adr[3:0] <= to_adr[2:0] + 3'd1;
2320
            endcase
2321
        else
2322
            adr <= to_adr[2:0];
2323
end
2324
endgenerate
2325
generate
2326
if (max_burst_width==4) begin : inst_4
2327
    always @ (posedge clk or posedge rst)
2328
    if (rst)
2329
        adr <= 4'h0;
2330
    else
2331 91 unneback
        if (stb_i) // | (!stb_i & last_cycle!=ws)) // for !stb_i restart with adr_i +1, only inc once
2332 83 unneback
            case (bte_i)
2333
            2'b01: adr[3:0] <= {to_adr[3:2],to_adr[1:0] + 2'd1};
2334
            2'b10: adr[3:0] <= {to_adr[3],to_adr[2:0] + 3'd1};
2335
            default: adr[3:0] <= to_adr + 4'd1;
2336
            endcase
2337
        else
2338
            adr <= to_adr[3:0];
2339
end
2340
endgenerate
2341
generate
2342
if (adr_width > max_burst_width) begin : pass_through
2343
    assign adr_o[adr_width-1:max_burst_width] = adr_i[adr_width-1:max_burst_width];
2344
end
2345
endgenerate
2346
endmodule
2347
// async wb3 - wb3 bridge
2348
`timescale 1ns/1ns
2349 18 unneback
module vl_wb3wb3_bridge (
2350 12 unneback
        // wishbone slave side
2351
        wbs_dat_i, wbs_adr_i, wbs_sel_i, wbs_bte_i, wbs_cti_i, wbs_we_i, wbs_cyc_i, wbs_stb_i, wbs_dat_o, wbs_ack_o, wbs_clk, wbs_rst,
2352
        // wishbone master side
2353
        wbm_dat_o, wbm_adr_o, wbm_sel_o, wbm_bte_o, wbm_cti_o, wbm_we_o, wbm_cyc_o, wbm_stb_o, wbm_dat_i, wbm_ack_i, wbm_clk, wbm_rst);
2354 95 unneback
parameter style = "FIFO"; // valid: simple, FIFO
2355
parameter addr_width = 4;
2356 12 unneback
input [31:0] wbs_dat_i;
2357
input [31:2] wbs_adr_i;
2358
input [3:0]  wbs_sel_i;
2359
input [1:0]  wbs_bte_i;
2360
input [2:0]  wbs_cti_i;
2361
input wbs_we_i, wbs_cyc_i, wbs_stb_i;
2362
output [31:0] wbs_dat_o;
2363 14 unneback
output wbs_ack_o;
2364 12 unneback
input wbs_clk, wbs_rst;
2365
output [31:0] wbm_dat_o;
2366
output reg [31:2] wbm_adr_o;
2367
output [3:0]  wbm_sel_o;
2368
output reg [1:0]  wbm_bte_o;
2369
output reg [2:0]  wbm_cti_o;
2370 14 unneback
output reg wbm_we_o;
2371
output wbm_cyc_o;
2372 12 unneback
output wbm_stb_o;
2373
input [31:0]  wbm_dat_i;
2374
input wbm_ack_i;
2375
input wbm_clk, wbm_rst;
2376
// bte
2377
parameter linear       = 2'b00;
2378
parameter wrap4        = 2'b01;
2379
parameter wrap8        = 2'b10;
2380
parameter wrap16       = 2'b11;
2381
// cti
2382
parameter classic      = 3'b000;
2383
parameter incburst     = 3'b010;
2384
parameter endofburst   = 3'b111;
2385 95 unneback
localparam wbs_adr  = 1'b0;
2386
localparam wbs_data = 1'b1;
2387
localparam wbm_adr0      = 2'b00;
2388
localparam wbm_adr1      = 2'b01;
2389
localparam wbm_data      = 2'b10;
2390
localparam wbm_data_wait = 2'b11;
2391 12 unneback
reg [1:0] wbs_bte_reg;
2392
reg wbs;
2393
wire wbs_eoc_alert, wbm_eoc_alert;
2394
reg wbs_eoc, wbm_eoc;
2395
reg [1:0] wbm;
2396 14 unneback
wire [1:16] wbs_count, wbm_count;
2397 12 unneback
wire [35:0] a_d, a_q, b_d, b_q;
2398
wire a_wr, a_rd, a_fifo_full, a_fifo_empty, b_wr, b_rd, b_fifo_full, b_fifo_empty;
2399
reg a_rd_reg;
2400
wire b_rd_adr, b_rd_data;
2401 14 unneback
wire b_rd_data_reg;
2402
wire [35:0] temp;
2403 12 unneback
assign wbs_eoc_alert = (wbs_bte_reg==wrap4 & wbs_count[3]) | (wbs_bte_reg==wrap8 & wbs_count[7]) | (wbs_bte_reg==wrap16 & wbs_count[15]);
2404
always @ (posedge wbs_clk or posedge wbs_rst)
2405
if (wbs_rst)
2406
        wbs_eoc <= 1'b0;
2407
else
2408
        if (wbs==wbs_adr & wbs_stb_i & !a_fifo_full)
2409 78 unneback
                wbs_eoc <= (wbs_bte_i==linear) | (wbs_cti_i==3'b111);
2410 12 unneback
        else if (wbs_eoc_alert & (a_rd | a_wr))
2411
                wbs_eoc <= 1'b1;
2412 18 unneback
vl_cnt_shreg_ce_clear # ( .length(16))
2413 12 unneback
    cnt0 (
2414
        .cke(wbs_ack_o),
2415
        .clear(wbs_eoc),
2416
        .q(wbs_count),
2417
        .rst(wbs_rst),
2418
        .clk(wbs_clk));
2419
always @ (posedge wbs_clk or posedge wbs_rst)
2420
if (wbs_rst)
2421
        wbs <= wbs_adr;
2422
else
2423 75 unneback
        if ((wbs==wbs_adr) & wbs_cyc_i & wbs_stb_i & a_fifo_empty)
2424 12 unneback
                wbs <= wbs_data;
2425
        else if (wbs_eoc & wbs_ack_o)
2426
                wbs <= wbs_adr;
2427
// wbs FIFO
2428 75 unneback
assign a_d = (wbs==wbs_adr) ? {wbs_adr_i[31:2],wbs_we_i,((wbs_cti_i==3'b111) ? {2'b00,3'b000} : {wbs_bte_i,wbs_cti_i})} : {wbs_dat_i,wbs_sel_i};
2429
assign a_wr = (wbs==wbs_adr)  ? wbs_cyc_i & wbs_stb_i & a_fifo_empty :
2430 12 unneback
              (wbs==wbs_data) ? wbs_we_i  & wbs_stb_i & !a_fifo_full :
2431
              1'b0;
2432
assign a_rd = !a_fifo_empty;
2433
always @ (posedge wbs_clk or posedge wbs_rst)
2434
if (wbs_rst)
2435
        a_rd_reg <= 1'b0;
2436
else
2437
        a_rd_reg <= a_rd;
2438
assign wbs_ack_o = a_rd_reg | (a_wr & wbs==wbs_data);
2439
assign wbs_dat_o = a_q[35:4];
2440
always @ (posedge wbs_clk or posedge wbs_rst)
2441
if (wbs_rst)
2442 13 unneback
        wbs_bte_reg <= 2'b00;
2443 12 unneback
else
2444 13 unneback
        wbs_bte_reg <= wbs_bte_i;
2445 12 unneback
// wbm FIFO
2446
assign wbm_eoc_alert = (wbm_bte_o==wrap4 & wbm_count[3]) | (wbm_bte_o==wrap8 & wbm_count[7]) | (wbm_bte_o==wrap16 & wbm_count[15]);
2447
always @ (posedge wbm_clk or posedge wbm_rst)
2448
if (wbm_rst)
2449
        wbm_eoc <= 1'b0;
2450
else
2451
        if (wbm==wbm_adr0 & !b_fifo_empty)
2452
                wbm_eoc <= b_q[4:3] == linear;
2453
        else if (wbm_eoc_alert & wbm_ack_i)
2454
                wbm_eoc <= 1'b1;
2455
always @ (posedge wbm_clk or posedge wbm_rst)
2456
if (wbm_rst)
2457
        wbm <= wbm_adr0;
2458
else
2459 33 unneback
/*
2460 12 unneback
    if ((wbm==wbm_adr0 & !b_fifo_empty) |
2461
        (wbm==wbm_adr1 & !b_fifo_empty & wbm_we_o) |
2462
        (wbm==wbm_adr1 & !wbm_we_o) |
2463
        (wbm==wbm_data & wbm_ack_i & wbm_eoc))
2464
        wbm <= {wbm[0],!(wbm[1] ^ wbm[0])};  // count sequence 00,01,10
2465 33 unneback
*/
2466
    case (wbm)
2467
    wbm_adr0:
2468
        if (!b_fifo_empty)
2469
            wbm <= wbm_adr1;
2470
    wbm_adr1:
2471
        if (!wbm_we_o | (!b_fifo_empty & wbm_we_o))
2472
            wbm <= wbm_data;
2473
    wbm_data:
2474
        if (wbm_ack_i & wbm_eoc)
2475
            wbm <= wbm_adr0;
2476
        else if (b_fifo_empty & wbm_we_o & wbm_ack_i)
2477
            wbm <= wbm_data_wait;
2478
    wbm_data_wait:
2479
        if (!b_fifo_empty)
2480
            wbm <= wbm_data;
2481
    endcase
2482 12 unneback
assign b_d = {wbm_dat_i,4'b1111};
2483
assign b_wr = !wbm_we_o & wbm_ack_i;
2484
assign b_rd_adr  = (wbm==wbm_adr0 & !b_fifo_empty);
2485
assign b_rd_data = (wbm==wbm_adr1 & !b_fifo_empty & wbm_we_o) ? 1'b1 : // b_q[`WE]
2486
                   (wbm==wbm_data & !b_fifo_empty & wbm_we_o & wbm_ack_i & !wbm_eoc) ? 1'b1 :
2487 33 unneback
                   (wbm==wbm_data_wait & !b_fifo_empty) ? 1'b1 :
2488 12 unneback
                   1'b0;
2489
assign b_rd = b_rd_adr | b_rd_data;
2490 18 unneback
vl_dff dff1 ( .d(b_rd_data), .q(b_rd_data_reg), .clk(wbm_clk), .rst(wbm_rst));
2491
vl_dff_ce # ( .width(36)) dff2 ( .d(b_q), .ce(b_rd_data_reg), .q(temp), .clk(wbm_clk), .rst(wbm_rst));
2492 12 unneback
assign {wbm_dat_o,wbm_sel_o} = (b_rd_data_reg) ? b_q : temp;
2493 18 unneback
vl_cnt_shreg_ce_clear # ( .length(16))
2494 12 unneback
    cnt1 (
2495
        .cke(wbm_ack_i),
2496
        .clear(wbm_eoc),
2497
        .q(wbm_count),
2498
        .rst(wbm_rst),
2499
        .clk(wbm_clk));
2500 33 unneback
assign wbm_cyc_o = (wbm==wbm_data | wbm==wbm_data_wait);
2501
assign wbm_stb_o = (wbm==wbm_data);
2502 12 unneback
always @ (posedge wbm_clk or posedge wbm_rst)
2503
if (wbm_rst)
2504
        {wbm_adr_o,wbm_we_o,wbm_bte_o,wbm_cti_o} <= {30'h0,1'b0,linear,classic};
2505
else begin
2506
        if (wbm==wbm_adr0 & !b_fifo_empty)
2507
                {wbm_adr_o,wbm_we_o,wbm_bte_o,wbm_cti_o} <= b_q;
2508
        else if (wbm_eoc_alert & wbm_ack_i)
2509
                wbm_cti_o <= endofburst;
2510
end
2511
//async_fifo_dw_simplex_top
2512
vl_fifo_2r2w_async_simplex
2513
# ( .data_width(36), .addr_width(addr_width))
2514
fifo (
2515
    // a side
2516
    .a_d(a_d),
2517
    .a_wr(a_wr),
2518
    .a_fifo_full(a_fifo_full),
2519
    .a_q(a_q),
2520
    .a_rd(a_rd),
2521
    .a_fifo_empty(a_fifo_empty),
2522
    .a_clk(wbs_clk),
2523
    .a_rst(wbs_rst),
2524
    // b side
2525
    .b_d(b_d),
2526
    .b_wr(b_wr),
2527
    .b_fifo_full(b_fifo_full),
2528
    .b_q(b_q),
2529
    .b_rd(b_rd),
2530
    .b_fifo_empty(b_fifo_empty),
2531
    .b_clk(wbm_clk),
2532
    .b_rst(wbm_rst)
2533
    );
2534
endmodule
2535 75 unneback
module vl_wb3avalon_bridge (
2536
        // wishbone slave side
2537
        wbs_dat_i, wbs_adr_i, wbs_sel_i, wbs_bte_i, wbs_cti_i, wbs_we_i, wbs_cyc_i, wbs_stb_i, wbs_dat_o, wbs_ack_o, wbs_clk, wbs_rst,
2538 77 unneback
        // avalon master side
2539 75 unneback
        readdata, readdatavalid, address, read, be, write, burstcount, writedata, waitrequest, beginbursttransfer, clk, rst);
2540 85 unneback
parameter linewrapburst = 1'b0;
2541 75 unneback
input [31:0] wbs_dat_i;
2542
input [31:2] wbs_adr_i;
2543
input [3:0]  wbs_sel_i;
2544
input [1:0]  wbs_bte_i;
2545
input [2:0]  wbs_cti_i;
2546 83 unneback
input wbs_we_i;
2547
input wbs_cyc_i;
2548
input wbs_stb_i;
2549 75 unneback
output [31:0] wbs_dat_o;
2550
output wbs_ack_o;
2551
input wbs_clk, wbs_rst;
2552
input [31:0] readdata;
2553
output [31:0] writedata;
2554
output [31:2] address;
2555
output [3:0]  be;
2556
output write;
2557 81 unneback
output read;
2558 75 unneback
output beginbursttransfer;
2559
output [3:0] burstcount;
2560
input readdatavalid;
2561
input waitrequest;
2562
input clk;
2563
input rst;
2564
wire [1:0] wbm_bte_o;
2565
wire [2:0] wbm_cti_o;
2566
wire wbm_we_o, wbm_cyc_o, wbm_stb_o, wbm_ack_i;
2567
reg last_cyc;
2568 79 unneback
reg [3:0] counter;
2569 82 unneback
reg read_busy;
2570 75 unneback
always @ (posedge clk or posedge rst)
2571
if (rst)
2572
    last_cyc <= 1'b0;
2573
else
2574
    last_cyc <= wbm_cyc_o;
2575 79 unneback
always @ (posedge clk or posedge rst)
2576
if (rst)
2577 82 unneback
    read_busy <= 1'b0;
2578 79 unneback
else
2579 82 unneback
    if (read & !waitrequest)
2580
        read_busy <= 1'b1;
2581
    else if (wbm_ack_i & wbm_cti_o!=3'b010)
2582
        read_busy <= 1'b0;
2583
assign read = wbm_cyc_o & wbm_stb_o & !wbm_we_o & !read_busy;
2584 75 unneback
assign beginbursttransfer = (!last_cyc & wbm_cyc_o) & wbm_cti_o==3'b010;
2585
assign burstcount = (wbm_bte_o==2'b01) ? 4'd4 :
2586
                    (wbm_bte_o==2'b10) ? 4'd8 :
2587 78 unneback
                    (wbm_bte_o==2'b11) ? 4'd16:
2588
                    4'd1;
2589 82 unneback
assign wbm_ack_i = (readdatavalid) | (write & !waitrequest);
2590 79 unneback
always @ (posedge clk or posedge rst)
2591
if (rst) begin
2592
    counter <= 4'd0;
2593
end else
2594 80 unneback
    if (wbm_we_o) begin
2595
        if (!waitrequest & !last_cyc & wbm_cyc_o) begin
2596 85 unneback
            counter <= burstcount -4'd1;
2597 80 unneback
        end else if (waitrequest & !last_cyc & wbm_cyc_o) begin
2598
            counter <= burstcount;
2599
        end else if (!waitrequest & wbm_stb_o) begin
2600
            counter <= counter - 4'd1;
2601
        end
2602 82 unneback
    end
2603 81 unneback
assign write = wbm_cyc_o & wbm_stb_o & wbm_we_o & counter!=4'd0;
2604 77 unneback
vl_wb3wb3_bridge wbwb3inst (
2605 75 unneback
    // wishbone slave side
2606
    .wbs_dat_i(wbs_dat_i),
2607
    .wbs_adr_i(wbs_adr_i),
2608
    .wbs_sel_i(wbs_sel_i),
2609
    .wbs_bte_i(wbs_bte_i),
2610
    .wbs_cti_i(wbs_cti_i),
2611
    .wbs_we_i(wbs_we_i),
2612
    .wbs_cyc_i(wbs_cyc_i),
2613
    .wbs_stb_i(wbs_stb_i),
2614
    .wbs_dat_o(wbs_dat_o),
2615
    .wbs_ack_o(wbs_ack_o),
2616
    .wbs_clk(wbs_clk),
2617
    .wbs_rst(wbs_rst),
2618
    // wishbone master side
2619
    .wbm_dat_o(writedata),
2620 78 unneback
    .wbm_adr_o(address),
2621 75 unneback
    .wbm_sel_o(be),
2622
    .wbm_bte_o(wbm_bte_o),
2623
    .wbm_cti_o(wbm_cti_o),
2624
    .wbm_we_o(wbm_we_o),
2625
    .wbm_cyc_o(wbm_cyc_o),
2626
    .wbm_stb_o(wbm_stb_o),
2627
    .wbm_dat_i(readdata),
2628
    .wbm_ack_i(wbm_ack_i),
2629
    .wbm_clk(clk),
2630
    .wbm_rst(rst));
2631
endmodule
2632 49 unneback
// WB RAM with byte enable
2633 101 unneback
module vl_wb_ram (
2634 69 unneback
    wbs_dat_i, wbs_adr_i, wbs_cti_i, wbs_bte_i, wbs_sel_i, wbs_we_i, wbs_stb_i, wbs_cyc_i,
2635 101 unneback
    wbs_dat_o, wbs_ack_o, wbs_stall_o, wb_clk, wb_rst);
2636
parameter adr_width = 16;
2637
parameter mem_size = 1<<adr_width;
2638
parameter dat_width = 32;
2639
parameter max_burst_width = 4; // only used for B3
2640
parameter mode = "B3"; // valid options: B3, B4
2641 60 unneback
parameter memory_init = 1;
2642
parameter memory_file = "vl_ram.vmem";
2643 101 unneback
input [dat_width-1:0] wbs_dat_i;
2644
input [adr_width-1:0] wbs_adr_i;
2645
input [2:0] wbs_cti_i;
2646
input [1:0] wbs_bte_i;
2647
input [dat_width/8-1:0] wbs_sel_i;
2648 70 unneback
input wbs_we_i, wbs_stb_i, wbs_cyc_i;
2649 101 unneback
output [dat_width-1:0] wbs_dat_o;
2650 70 unneback
output wbs_ack_o;
2651 101 unneback
output wbs_stall_o;
2652 71 unneback
input wb_clk, wb_rst;
2653 101 unneback
wire [adr_width-1:0] adr;
2654
wire we;
2655
generate
2656
if (mode=="B3") begin : B3_inst
2657
vl_wb_adr_inc # ( .adr_width(adr_width), .max_burst_width(max_burst_width)) adr_inc0 (
2658 83 unneback
    .cyc_i(wbs_cyc_i),
2659
    .stb_i(wbs_stb_i),
2660
    .cti_i(wbs_cti_i),
2661
    .bte_i(wbs_bte_i),
2662
    .adr_i(wbs_adr_i),
2663 85 unneback
    .we_i(wbs_we_i),
2664 83 unneback
    .ack_o(wbs_ack_o),
2665
    .adr_o(adr),
2666
    .clk(wb_clk),
2667
    .rst(wb_rst));
2668 101 unneback
assign we = wbs_we_i & wbs_ack_o;
2669
end else if (mode=="B4") begin : B4_inst
2670
reg wbs_ack_o_reg;
2671
always @ (posedge wb_clk or posedge wb_rst)
2672
    if (wb_rst)
2673
        wbs_ack_o_reg <= 1'b0;
2674
    else
2675
        wbs_ack_o_reg <= wbs_stb_i & wbs_cyc_i;
2676
assign wbs_ack_o = wbs_ack_o_reg;
2677
assign wbs_stall_o = 1'b0;
2678
assign adr = wbs_adr_i;
2679
assign we = wbs_we_i & wbs_cyc_i & wbs_stb_i;
2680
end
2681
endgenerate
2682 100 unneback
vl_ram_be # (
2683
    .data_width(dat_width),
2684
    .addr_width(adr_width),
2685
    .mem_size(mem_size),
2686
    .memory_init(memory_init),
2687
    .memory_file(memory_file))
2688
ram0(
2689 101 unneback
    .d(wbs_dat_i),
2690
    .adr(adr),
2691
    .be(wbs_sel_i),
2692
    .we(we),
2693
    .q(wbs_dat_o),
2694 100 unneback
    .clk(wb_clk)
2695
);
2696 49 unneback
endmodule
2697 103 unneback
// A wishbone compliant RAM module that can be placed in front of other memory controllers
2698
module vl_wb_shadow_ram (
2699
    wbs_dat_i, wbs_adr_i, wbs_cti_i, wbs_bte_i, wbs_sel_i, wbs_we_i, wbs_stb_i, wbs_cyc_i,
2700
    wbs_dat_o, wbs_ack_o, wbs_stall_o,
2701
    wbm_dat_o, wbm_adr_o, wbm_cti_o, wbm_bte_o, wbm_sel_o, wbm_we_o, wbm_stb_o, wbm_cyc_o,
2702
    wbm_dat_i, wbm_ack_i, wbm_stall_i,
2703
    wb_clk, wb_rst);
2704
parameter dat_width = 32;
2705
parameter mode = "B4";
2706
parameter max_burst_width = 4; // only used for B3
2707
parameter shadow_mem_adr_width = 10;
2708
parameter shadow_mem_size = 1024;
2709
parameter shadow_mem_init = 2;
2710
parameter shadow_mem_file = "vl_ram.v";
2711
parameter main_mem_adr_width = 24;
2712
input [dat_width-1:0] wbs_dat_i;
2713
input [main_mem_adr_width-1:0] wbs_adr_i;
2714
input [2:0] wbs_cti_i;
2715
input [1:0] wbs_bte_i;
2716
input [dat_width/8-1:0] wbs_sel_i;
2717
input wbs_we_i, wbs_stb_i, wbs_cyc_i;
2718
output [dat_width-1:0] wbs_dat_o;
2719
output wbs_ack_o;
2720
output wbs_stall_o;
2721
output [dat_width-1:0] wbm_dat_o;
2722
output [main_mem_adr_width-1:0] wbm_adr_o;
2723
output [2:0] wbm_cti_o;
2724
output [1:0] wbm_bte_o;
2725
output [dat_width/8-1:0] wbm_sel_o;
2726
output wbm_we_o, wbm_stb_o, wbm_cyc_o;
2727
input [dat_width-1:0] wbm_dat_i;
2728
input wbm_ack_i, wbm_stall_i;
2729
input wb_clk, wb_rst;
2730
generate
2731
if (shadow_mem_size>0) begin : shadow_ram_inst
2732
wire cyc;
2733
wire [dat_width-1:0] dat;
2734
wire stall, ack;
2735
assign cyc = wbs_cyc_i & (wbs_adr_i<=shadow_mem_size);
2736
vl_wb_ram # (
2737
    .dat_width(dat_width),
2738
    .adr_width(shadow_mem_adr_width),
2739
    .mem_size(shadow_mem_size),
2740
    .memory_init(shadow_mem_init),
2741 117 unneback
    .memory_file(shadow_mem_file),
2742 103 unneback
    .mode(mode))
2743
shadow_mem0 (
2744
    .wbs_dat_i(wbs_dat_i),
2745
    .wbs_adr_i(wbs_adr_i[shadow_mem_adr_width-1:0]),
2746
    .wbs_sel_i(wbs_sel_i),
2747
    .wbs_we_i (wbs_we_i),
2748
    .wbs_bte_i(wbs_bte_i),
2749
    .wbs_cti_i(wbs_cti_i),
2750
    .wbs_stb_i(wbs_stb_i),
2751
    .wbs_cyc_i(cyc),
2752
    .wbs_dat_o(dat),
2753
    .wbs_stall_o(stall),
2754
    .wbs_ack_o(ack),
2755
    .wb_clk(wb_clk),
2756
    .wb_rst(wb_rst));
2757
assign {wbm_dat_o, wbm_adr_o, wbm_cti_o, wbm_bte_o, wbm_sel_o, wbm_we_o, wbm_stb_o} =
2758
       {wbs_dat_i, wbs_adr_i, wbs_cti_i, wbs_bte_i, wbs_sel_i, wbs_we_i, wbs_stb_i};
2759
assign wbm_cyc_o = wbs_cyc_i & (wbs_adr_i>shadow_mem_size);
2760
assign wbs_dat_o = (dat & {dat_width{cyc}}) | (wbm_dat_i & {dat_width{wbm_cyc_o}});
2761
assign wbs_ack_o = (ack & cyc) | (wbm_ack_i & wbm_cyc_o);
2762
assign wbs_stall_o = (stall & cyc) | (wbm_stall_i & wbm_cyc_o);
2763
end else begin : no_shadow_ram_inst
2764
assign {wbm_dat_o, wbm_adr_o, wbm_cti_o, wbm_bte_o, wbm_sel_o, wbm_we_o, wbm_stb_o, wbm_cyc_o} =
2765
       {wbs_dat_i, wbs_adr_i, wbs_cti_i, wbs_bte_i, wbs_sel_i, wbs_we_i, wbs_stb_i, wbs_cyc_i};
2766
assign {wbs_dat_o, wbs_ack_o, wbs_stall_o} = {wbm_dat_i, wbm_ack_i, wbm_stall_i};
2767
end
2768
endgenerate
2769
endmodule
2770 17 unneback
// WB ROM
2771 48 unneback
module vl_wb_b4_rom (
2772
    wb_adr_i, wb_stb_i, wb_cyc_i,
2773
    wb_dat_o, stall_o, wb_ack_o, wb_clk, wb_rst);
2774
    parameter dat_width = 32;
2775
    parameter dat_default = 32'h15000000;
2776
    parameter adr_width = 32;
2777
/*
2778
`ifndef ROM
2779
`define ROM "rom.v"
2780
`endif
2781
*/
2782
    input [adr_width-1:2]   wb_adr_i;
2783
    input                   wb_stb_i;
2784
    input                   wb_cyc_i;
2785
    output [dat_width-1:0]  wb_dat_o;
2786
    reg [dat_width-1:0]     wb_dat_o;
2787
    output                  wb_ack_o;
2788
    reg                     wb_ack_o;
2789
    output                  stall_o;
2790
    input                   wb_clk;
2791
    input                   wb_rst;
2792
always @ (posedge wb_clk or posedge wb_rst)
2793
    if (wb_rst)
2794
        wb_dat_o <= {dat_width{1'b0}};
2795
    else
2796
         case (wb_adr_i[adr_width-1:2])
2797
`ifdef ROM
2798
`include `ROM
2799
`endif
2800
           default:
2801
             wb_dat_o <= dat_default;
2802
         endcase // case (wb_adr_i)
2803
always @ (posedge wb_clk or posedge wb_rst)
2804
    if (wb_rst)
2805
        wb_ack_o <= 1'b0;
2806
    else
2807
        wb_ack_o <= wb_stb_i & wb_cyc_i;
2808
assign stall_o = 1'b0;
2809
endmodule
2810
// WB ROM
2811 18 unneback
module vl_wb_boot_rom (
2812 17 unneback
    wb_adr_i, wb_stb_i, wb_cyc_i,
2813 18 unneback
    wb_dat_o, wb_ack_o, hit_o, wb_clk, wb_rst);
2814
    parameter adr_hi = 31;
2815
    parameter adr_lo = 28;
2816
    parameter adr_sel = 4'hf;
2817
    parameter addr_width = 5;
2818 33 unneback
/*
2819
`ifndef BOOT_ROM
2820
`define BOOT_ROM "boot_rom.v"
2821
`endif
2822
*/
2823 18 unneback
    input [adr_hi:2]    wb_adr_i;
2824
    input               wb_stb_i;
2825
    input               wb_cyc_i;
2826
    output [31:0]        wb_dat_o;
2827
    output              wb_ack_o;
2828
    output              hit_o;
2829
    input               wb_clk;
2830
    input               wb_rst;
2831
    wire hit;
2832
    reg [31:0] wb_dat;
2833
    reg wb_ack;
2834
assign hit = wb_adr_i[adr_hi:adr_lo] == adr_sel;
2835 17 unneback
always @ (posedge wb_clk or posedge wb_rst)
2836
    if (wb_rst)
2837 18 unneback
        wb_dat <= 32'h15000000;
2838 17 unneback
    else
2839 18 unneback
         case (wb_adr_i[addr_width-1:2])
2840 33 unneback
`ifdef BOOT_ROM
2841
`include `BOOT_ROM
2842
`endif
2843 17 unneback
           /*
2844
            // Zero r0 and jump to 0x00000100
2845 18 unneback
 
2846
            1 : wb_dat <= 32'hA8200000;
2847
            2 : wb_dat <= 32'hA8C00100;
2848
            3 : wb_dat <= 32'h44003000;
2849
            4 : wb_dat <= 32'h15000000;
2850 17 unneback
            */
2851
           default:
2852 18 unneback
             wb_dat <= 32'h00000000;
2853 17 unneback
         endcase // case (wb_adr_i)
2854
always @ (posedge wb_clk or posedge wb_rst)
2855
    if (wb_rst)
2856 18 unneback
        wb_ack <= 1'b0;
2857 17 unneback
    else
2858 18 unneback
        wb_ack <= wb_stb_i & wb_cyc_i & hit & !wb_ack;
2859
assign hit_o = hit;
2860
assign wb_dat_o = wb_dat & {32{wb_ack}};
2861
assign wb_ack_o = wb_ack;
2862 17 unneback
endmodule
2863 106 unneback
module vl_wb_dpram (
2864
        // wishbone slave side a
2865
        wbsa_dat_i, wbsa_adr_i, wbsa_sel_i, wbsa_cti_i, wbsa_bte_i, wbsa_we_i, wbsa_cyc_i, wbsa_stb_i, wbsa_dat_o, wbsa_ack_o, wbsa_stall_o,
2866
        wbsa_clk, wbsa_rst,
2867
        // wishbone slave side b
2868
        wbsb_dat_i, wbsb_adr_i, wbsb_sel_i, wbsb_cti_i, wbsb_bte_i, wbsb_we_i, wbsb_cyc_i, wbsb_stb_i, wbsb_dat_o, wbsb_ack_o, wbsb_stall_o,
2869
        wbsb_clk, wbsb_rst);
2870
parameter data_width_a = 32;
2871
parameter data_width_b = data_width_a;
2872
parameter addr_width_a = 8;
2873
localparam addr_width_b = data_width_a * addr_width_a / data_width_b;
2874
parameter mem_size = (addr_width_a>addr_width_b) ? (1<<addr_width_a) : (1<<addr_width_b);
2875
parameter max_burst_width_a = 4;
2876
parameter max_burst_width_b = max_burst_width_a;
2877
parameter mode = "B3";
2878 109 unneback
parameter memory_init = 0;
2879
parameter memory_file = "vl_ram.v";
2880 106 unneback
input [data_width_a-1:0] wbsa_dat_i;
2881
input [addr_width_a-1:0] wbsa_adr_i;
2882
input [data_width_a/8-1:0] wbsa_sel_i;
2883
input [2:0] wbsa_cti_i;
2884
input [1:0] wbsa_bte_i;
2885
input wbsa_we_i, wbsa_cyc_i, wbsa_stb_i;
2886
output [data_width_a-1:0] wbsa_dat_o;
2887 109 unneback
output wbsa_ack_o;
2888 106 unneback
output wbsa_stall_o;
2889
input wbsa_clk, wbsa_rst;
2890
input [data_width_b-1:0] wbsb_dat_i;
2891
input [addr_width_b-1:0] wbsb_adr_i;
2892
input [data_width_b/8-1:0] wbsb_sel_i;
2893
input [2:0] wbsb_cti_i;
2894
input [1:0] wbsb_bte_i;
2895
input wbsb_we_i, wbsb_cyc_i, wbsb_stb_i;
2896
output [data_width_b-1:0] wbsb_dat_o;
2897 109 unneback
output wbsb_ack_o;
2898 106 unneback
output wbsb_stall_o;
2899
input wbsb_clk, wbsb_rst;
2900
wire [addr_width_a-1:0] adr_a;
2901
wire [addr_width_b-1:0] adr_b;
2902
wire we_a, we_b;
2903
generate
2904
if (mode=="B3") begin : b3_inst
2905
vl_wb_adr_inc # ( .adr_width(addr_width_a), .max_burst_width(max_burst_width_a)) adr_inc0 (
2906
    .cyc_i(wbsa_cyc_i),
2907
    .stb_i(wbsa_stb_i),
2908
    .cti_i(wbsa_cti_i),
2909
    .bte_i(wbsa_bte_i),
2910
    .adr_i(wbsa_adr_i),
2911
    .we_i(wbsa_we_i),
2912
    .ack_o(wbsa_ack_o),
2913
    .adr_o(adr_a),
2914
    .clk(wbsa_clk),
2915
    .rst(wbsa_rst));
2916
assign we_a = wbsa_we_i & wbsa_ack_o;
2917
vl_wb_adr_inc # ( .adr_width(addr_width_b), .max_burst_width(max_burst_width_b)) adr_inc1 (
2918
    .cyc_i(wbsb_cyc_i),
2919
    .stb_i(wbsb_stb_i),
2920
    .cti_i(wbsb_cti_i),
2921
    .bte_i(wbsb_bte_i),
2922
    .adr_i(wbsb_adr_i),
2923
    .we_i(wbsb_we_i),
2924
    .ack_o(wbsb_ack_o),
2925
    .adr_o(adr_b),
2926
    .clk(wbsb_clk),
2927
    .rst(wbsb_rst));
2928
assign we_b = wbsb_we_i & wbsb_ack_o;
2929
end else if (mode=="B4") begin : b4_inst
2930 109 unneback
vl_dff dffacka ( .d(wbsa_stb_i & wbsa_cyc_i), .q(wbsa_ack_o), .clk(wbsa_clk), .rst(wbsa_rst));
2931 106 unneback
assign wbsa_stall_o = 1'b0;
2932
assign we_a = wbsa_we_i & wbsa_cyc_i & wbsa_stb_i;
2933 109 unneback
vl_dff dffackb ( .d(wbsb_stb_i & wbsb_cyc_i), .q(wbsb_ack_o), .clk(wbsb_clk), .rst(wbsb_rst));
2934 106 unneback
assign wbsb_stall_o = 1'b0;
2935
assign we_b = wbsb_we_i & wbsb_cyc_i & wbsb_stb_i;
2936
end
2937
endgenerate
2938 109 unneback
vl_dpram_be_2r2w # ( .a_data_width(data_width_a), .a_addr_width(addr_width_a), .mem_size(mem_size),
2939 110 unneback
                 .b_data_width(data_width_b),
2940 109 unneback
                 .memory_init(memory_init), .memory_file(memory_file))
2941 106 unneback
ram_i (
2942
    .d_a(wbsa_dat_i),
2943
    .q_a(wbsa_dat_o),
2944
    .adr_a(adr_a),
2945
    .be_a(wbsa_sel_i),
2946
    .we_a(we_a),
2947
    .clk_a(wbsa_clk),
2948
    .d_b(wbsb_dat_i),
2949
    .q_b(wbsb_dat_o),
2950
    .adr_b(adr_b),
2951
    .be_b(wbsb_sel_i),
2952
    .we_b(we_b),
2953
    .clk_b(wbsb_clk) );
2954
endmodule
2955 101 unneback
module vl_wb_cache (
2956 103 unneback
    wbs_dat_i, wbs_adr_i, wbs_sel_i, wbs_cti_i, wbs_bte_i, wbs_we_i, wbs_stb_i, wbs_cyc_i, wbs_dat_o, wbs_ack_o, wbs_stall_o, wbs_clk, wbs_rst,
2957 98 unneback
    wbm_dat_o, wbm_adr_o, wbm_sel_o, wbm_cti_o, wbm_bte_o, wbm_we_o, wbm_stb_o, wbm_cyc_o, wbm_dat_i, wbm_ack_i, wbm_stall_i, wbm_clk, wbm_rst
2958 97 unneback
);
2959
parameter dw_s = 32;
2960
parameter aw_s = 24;
2961
parameter dw_m = dw_s;
2962 124 unneback
//localparam aw_m = dw_s * aw_s / dw_m;
2963
localparam aw_m =
2964 126 unneback
        (dw_s==dw_m) ? aw_s :
2965
        (dw_s==dw_m*2) ? aw_s+1 :
2966
        (dw_s==dw_m*4) ? aw_s+2 :
2967
        (dw_s==dw_m*8) ? aw_s+3 :
2968
        (dw_s==dw_m*16) ? aw_s+4 :
2969
        (dw_s==dw_m*32) ? aw_s+5 :
2970
        (dw_s==dw_m/2) ? aw_s-1 :
2971 127 unneback
        (dw_s==dw_m/4) ? aw_s-2 :
2972 126 unneback
        (dw_s==dw_m/8) ? aw_s-3 :
2973
        (dw_s==dw_m/16) ? aw_s-4 :
2974
        (dw_s==dw_m/32) ? aw_s-5 : 0;
2975 100 unneback
parameter wbs_max_burst_width = 4;
2976 103 unneback
parameter wbs_mode = "B3";
2977 97 unneback
parameter async = 1; // wbs_clk != wbm_clk
2978
parameter nr_of_ways = 1;
2979
parameter aw_offset = 4; // 4 => 16 words per cache line
2980
parameter aw_slot = 10;
2981 100 unneback
parameter valid_mem = 0;
2982
parameter debug = 0;
2983
localparam aw_b_offset = aw_offset * dw_s / dw_m;
2984 98 unneback
localparam aw_tag = aw_s - aw_slot - aw_offset;
2985 97 unneback
parameter wbm_burst_size = 4; // valid options 4,8,16
2986 98 unneback
localparam bte = (wbm_burst_size==4) ? 2'b01 : (wbm_burst_size==8) ? 2'b10 : 2'b11;
2987 100 unneback
localparam wbm_burst_width = (wbm_burst_size==1) ? 0 : (wbm_burst_size==2) ? 1 : (wbm_burst_size==4) ? 2 : (wbm_burst_size==8) ? 3 : (wbm_burst_size==16) ? 4 : (wbm_burst_size==32) ? 5 : (wbm_burst_size==64) ? 6 : (wbm_burst_size==128) ? 7 : 8;
2988 97 unneback
localparam nr_of_wbm_burst = ((1<<aw_offset)/wbm_burst_size) * dw_s / dw_m;
2989 100 unneback
localparam nr_of_wbm_burst_width = (nr_of_wbm_burst==1) ? 0 : (nr_of_wbm_burst==2) ? 1 : (nr_of_wbm_burst==4) ? 2 : (nr_of_wbm_burst==8) ? 3 : (nr_of_wbm_burst==16) ? 4 : (nr_of_wbm_burst==32) ? 5 : (nr_of_wbm_burst==64) ? 6 : (nr_of_wbm_burst==128) ? 7 : 8;
2990 97 unneback
input [dw_s-1:0] wbs_dat_i;
2991
input [aw_s-1:0] wbs_adr_i; // dont include a1,a0
2992 98 unneback
input [dw_s/8-1:0] wbs_sel_i;
2993 97 unneback
input [2:0] wbs_cti_i;
2994
input [1:0] wbs_bte_i;
2995 98 unneback
input wbs_we_i, wbs_stb_i, wbs_cyc_i;
2996 97 unneback
output [dw_s-1:0] wbs_dat_o;
2997
output wbs_ack_o;
2998 103 unneback
output wbs_stall_o;
2999 97 unneback
input wbs_clk, wbs_rst;
3000
output [dw_m-1:0] wbm_dat_o;
3001
output [aw_m-1:0] wbm_adr_o;
3002
output [dw_m/8-1:0] wbm_sel_o;
3003
output [2:0] wbm_cti_o;
3004
output [1:0] wbm_bte_o;
3005 98 unneback
output wbm_stb_o, wbm_cyc_o, wbm_we_o;
3006 97 unneback
input [dw_m-1:0] wbm_dat_i;
3007
input wbm_ack_i;
3008
input wbm_stall_i;
3009
input wbm_clk, wbm_rst;
3010 100 unneback
wire valid, dirty, hit;
3011 97 unneback
wire [aw_tag-1:0] tag;
3012
wire tag_mem_we;
3013
wire [aw_tag-1:0] wbs_adr_tag;
3014
wire [aw_slot-1:0] wbs_adr_slot;
3015 98 unneback
wire [aw_offset-1:0] wbs_adr_word;
3016
wire [aw_s-1:0] wbs_adr;
3017 97 unneback
reg [1:0] state;
3018
localparam idle = 2'h0;
3019
localparam rdwr = 2'h1;
3020
localparam push = 2'h2;
3021
localparam pull = 2'h3;
3022
wire eoc;
3023 103 unneback
wire we;
3024 97 unneback
// cdc
3025
wire done, mem_alert, mem_done;
3026 98 unneback
// wbm side
3027
reg [aw_m-1:0] wbm_radr;
3028
reg [aw_m-1:0] wbm_wadr;
3029 100 unneback
wire [aw_slot-1:0] wbm_adr;
3030 98 unneback
wire wbm_radr_cke, wbm_wadr_cke;
3031 100 unneback
reg [2:0] phase;
3032
// phase = {we,stb,cyc}
3033
localparam wbm_wait     = 3'b000;
3034
localparam wbm_wr       = 3'b111;
3035
localparam wbm_wr_drain = 3'b101;
3036
localparam wbm_rd       = 3'b011;
3037
localparam wbm_rd_drain = 3'b001;
3038 97 unneback
assign {wbs_adr_tag, wbs_adr_slot, wbs_adr_word} = wbs_adr_i;
3039 100 unneback
generate
3040
if (valid_mem==0) begin : no_valid_mem
3041
assign valid = 1'b1;
3042
end else begin : valid_mem_inst
3043
vl_dpram_1r1w
3044
    # ( .data_width(1), .addr_width(aw_slot), .memory_init(2), .debug(debug))
3045
    valid_mem ( .d_a(1'b1), .adr_a(wbs_adr_slot), .we_a(mem_done), .clk_a(wbm_clk),
3046
                .q_b(valid), .adr_b(wbs_adr_slot), .clk_b(wbs_clk));
3047
end
3048
endgenerate
3049
vl_dpram_1r1w
3050
    # ( .data_width(aw_tag), .addr_width(aw_slot), .memory_init(2), .debug(debug))
3051
    tag_mem ( .d_a(wbs_adr_tag), .adr_a(wbs_adr_slot), .we_a(mem_done), .clk_a(wbm_clk),
3052
              .q_b(tag), .adr_b(wbs_adr_slot), .clk_b(wbs_clk));
3053
assign hit = wbs_adr_tag == tag;
3054
vl_dpram_1r2w
3055
    # ( .data_width(1), .addr_width(aw_slot), .memory_init(2), .debug(debug))
3056
    dirty_mem (
3057
        .d_a(1'b1), .q_a(dirty), .adr_a(wbs_adr_slot), .we_a(wbs_cyc_i & wbs_we_i & wbs_ack_o), .clk_a(wbs_clk),
3058
        .d_b(1'b0), .adr_b(wbs_adr_slot), .we_b(mem_done), .clk_b(wbm_clk));
3059 103 unneback
generate
3060
if (wbs_mode=="B3") begin : inst_b3
3061 100 unneback
vl_wb_adr_inc # ( .adr_width(aw_s), .max_burst_width(wbs_max_burst_width)) adr_inc0 (
3062
    .cyc_i(wbs_cyc_i & (state==rdwr) & hit & valid),
3063
    .stb_i(wbs_stb_i & (state==rdwr) & hit & valid), // throttle depending on valid
3064 97 unneback
    .cti_i(wbs_cti_i),
3065
    .bte_i(wbs_bte_i),
3066
    .adr_i(wbs_adr_i),
3067
    .we_i (wbs_we_i),
3068
    .ack_o(wbs_ack_o),
3069
    .adr_o(wbs_adr),
3070 100 unneback
    .clk(wbs_clk),
3071
    .rst(wbs_rst));
3072 103 unneback
assign eoc = (wbs_cti_i==3'b000 | wbs_cti_i==3'b111) & wbs_ack_o;
3073
assign we = wbs_cyc_i &  wbs_we_i & wbs_ack_o;
3074
end else if (wbs_mode=="B4") begin : inst_b4
3075
end
3076
endgenerate
3077 97 unneback
vl_dpram_be_2r2w
3078 100 unneback
    # ( .a_data_width(dw_s), .a_addr_width(aw_slot+aw_offset), .b_data_width(dw_m), .debug(debug))
3079 103 unneback
    cache_mem ( .d_a(wbs_dat_i), .adr_a(wbs_adr[aw_slot+aw_offset-1:0]),   .be_a(wbs_sel_i), .we_a(we), .q_a(wbs_dat_o), .clk_a(wbs_clk),
3080 100 unneback
                .d_b(wbm_dat_i), .adr_b(wbm_adr_o[aw_slot+aw_offset-1:0]), .be_b(wbm_sel_o), .we_b(wbm_cyc_o & !wbm_we_o & wbs_ack_i), .q_b(wbm_dat_o), .clk_b(wbm_clk));
3081 97 unneback
always @ (posedge wbs_clk or posedge wbs_rst)
3082
if (wbs_rst)
3083 98 unneback
    state <= idle;
3084 97 unneback
else
3085
    case (state)
3086
    idle:
3087
        if (wbs_cyc_i)
3088
            state <= rdwr;
3089
    rdwr:
3090 100 unneback
        casex ({valid, hit, dirty, eoc})
3091
        4'b0xxx: state <= pull;
3092
        4'b11x1: state <= idle;
3093
        4'b101x: state <= push;
3094
        4'b100x: state <= pull;
3095
        endcase
3096 97 unneback
    push:
3097
        if (done)
3098
            state <= rdwr;
3099
    pull:
3100
        if (done)
3101
            state <= rdwr;
3102
    default: state <= idle;
3103
    endcase
3104
// cdc
3105
generate
3106
if (async==1) begin : cdc0
3107 100 unneback
vl_cdc cdc0 ( .start_pl(state==rdwr & (!valid | !hit)), .take_it_pl(mem_alert), .take_it_grant_pl(mem_done), .got_it_pl(done), .clk_src(wbs_clk), .rst_src(wbs_rst), .clk_dst(wbm_clk), .rst_dst(wbm_rst));
3108 97 unneback
end
3109
else begin : nocdc
3110 100 unneback
    assign mem_alert = state==rdwr & (!valid | !hit);
3111 97 unneback
    assign done = mem_done;
3112
end
3113
endgenerate
3114
// FSM generating a number of burts 4 cycles
3115
// actual number depends on data width ratio
3116
// nr_of_wbm_burst
3117 101 unneback
reg [nr_of_wbm_burst_width+wbm_burst_width-1:0]       cnt_rw, cnt_ack;
3118 97 unneback
always @ (posedge wbm_clk or posedge wbm_rst)
3119
if (wbm_rst)
3120 100 unneback
    cnt_rw <= {wbm_burst_width{1'b0}};
3121 97 unneback
else
3122 100 unneback
    if (wbm_cyc_o & wbm_stb_o & !wbm_stall_i)
3123
        cnt_rw <= cnt_rw + 1;
3124 98 unneback
always @ (posedge wbm_clk or posedge wbm_rst)
3125
if (wbm_rst)
3126 100 unneback
    cnt_ack <= {wbm_burst_width{1'b0}};
3127 98 unneback
else
3128 100 unneback
    if (wbm_ack_i)
3129
        cnt_ack <= cnt_ack + 1;
3130
generate
3131 101 unneback
if (nr_of_wbm_burst==1) begin : one_burst
3132 98 unneback
always @ (posedge wbm_clk or posedge wbm_rst)
3133
if (wbm_rst)
3134
    phase <= wbm_wait;
3135
else
3136
    case (phase)
3137
    wbm_wait:
3138
        if (mem_alert)
3139 100 unneback
            if (state==push)
3140
                phase <= wbm_wr;
3141
            else
3142
                phase <= wbm_rd;
3143 98 unneback
    wbm_wr:
3144 100 unneback
        if (&cnt_rw)
3145
            phase <= wbm_wr_drain;
3146
    wbm_wr_drain:
3147
        if (&cnt_ack)
3148 98 unneback
            phase <= wbm_rd;
3149
    wbm_rd:
3150 100 unneback
        if (&cnt_rw)
3151
            phase <= wbm_rd_drain;
3152
    wbm_rd_drain:
3153
        if (&cnt_ack)
3154
            phase <= wbm_wait;
3155 98 unneback
    default: phase <= wbm_wait;
3156
    endcase
3157 100 unneback
end else begin : multiple_burst
3158 101 unneback
always @ (posedge wbm_clk or posedge wbm_rst)
3159
if (wbm_rst)
3160
    phase <= wbm_wait;
3161
else
3162
    case (phase)
3163
    wbm_wait:
3164
        if (mem_alert)
3165
            if (state==push)
3166
                phase <= wbm_wr;
3167
            else
3168
                phase <= wbm_rd;
3169
    wbm_wr:
3170
        if (&cnt_rw[wbm_burst_width-1:0])
3171
            phase <= wbm_wr_drain;
3172
    wbm_wr_drain:
3173
        if (&cnt_ack)
3174
            phase <= wbm_rd;
3175
        else if (&cnt_ack[wbm_burst_width-1:0])
3176
            phase <= wbm_wr;
3177
    wbm_rd:
3178
        if (&cnt_rw[wbm_burst_width-1:0])
3179
            phase <= wbm_rd_drain;
3180
    wbm_rd_drain:
3181
        if (&cnt_ack)
3182
            phase <= wbm_wait;
3183
        else if (&cnt_ack[wbm_burst_width-1:0])
3184
            phase <= wbm_rd;
3185
    default: phase <= wbm_wait;
3186
    endcase
3187 100 unneback
end
3188
endgenerate
3189 101 unneback
assign mem_done = phase==wbm_rd_drain & (&cnt_ack) & wbm_ack_i;
3190 100 unneback
assign wbm_adr_o = (phase[2]) ? {tag, wbs_adr_slot, cnt_rw} : {wbs_adr_tag, wbs_adr_slot, cnt_rw};
3191
assign wbm_adr   = (phase[2]) ? {wbs_adr_slot, cnt_rw} : {wbs_adr_slot, cnt_rw};
3192
assign wbm_sel_o = {dw_m/8{1'b1}};
3193
assign wbm_cti_o = (&cnt_rw | !wbm_stb_o) ? 3'b111 : 3'b010;
3194 98 unneback
assign wbm_bte_o = bte;
3195 100 unneback
assign {wbm_we_o, wbm_stb_o, wbm_cyc_o}  = phase;
3196 97 unneback
endmodule
3197 103 unneback
// Wishbone to avalon bridge supporting one type of burst transfer only
3198
// intended use is together with cache above
3199
// WB B4 -> pipelined avalon
3200
module vl_wb_avalon_bridge (
3201
        // wishbone slave side
3202
        wbs_dat_i, wbs_adr_i, wbs_sel_i, wbs_bte_i, wbs_cti_i, wbs_we_i, wbs_cyc_i, wbs_stb_i, wbs_dat_o, wbs_ack_o, wbs_stall_o,
3203
        // avalon master side
3204
        readdata, readdatavalid, address, read, be, write, burstcount, writedata, waitrequest, beginbursttransfer,
3205
        // common
3206
        clk, rst);
3207
parameter adr_width = 30;
3208
parameter dat_width = 32;
3209
parameter burst_size = 4;
3210
input [dat_width-1:0] wbs_dat_i;
3211
input [adr_width-1:0] wbs_adr_i;
3212
input [dat_width/8-1:0]  wbs_sel_i;
3213
input [1:0]  wbs_bte_i;
3214
input [2:0]  wbs_cti_i;
3215
input wbs_we_i;
3216
input wbs_cyc_i;
3217
input wbs_stb_i;
3218
output [dat_width:0] wbs_dat_o;
3219
output wbs_ack_o;
3220
output wbs_stall_o;
3221
input [dat_width-1:0] readdata;
3222
input readdatavalid;
3223
output [dat_width-1:0] writedata;
3224
output [adr_width-1:0] address;
3225
output [dat_width/8-1:0]  be;
3226
output write;
3227
output read;
3228
output beginbursttransfer;
3229
output [3:0] burstcount;
3230
input waitrequest;
3231
input clk, rst;
3232
reg last_cyc_idle_or_eoc;
3233
reg [3:0] cnt;
3234
always @ (posedge clk or posedge rst)
3235
if (rst)
3236
    cnt <= 4'h0;
3237
else
3238
    if (beginbursttransfer & waitrequest)
3239
        cnt <= burst_size - 1;
3240
    else if (beginbursttransfer & !waitrequest)
3241
        cnt <= burst_size - 2;
3242
    else if (wbs_ack_o)
3243
        cnt <= cnt - 1;
3244
reg wr_ack;
3245
always @ (posedge clk or posedge rst)
3246
if (rst)
3247
    wr_ack <= 1'b0;
3248
else
3249
    wr_ack <=  (wbs_we_i & wbs_cyc_i & wbs_stb_i & !wbs_stall_o);
3250
// to avalon
3251
assign writedata = wbs_dat_i;
3252
assign address = wbs_adr_i;
3253
assign be = wbs_sel_i;
3254
assign write = cnt==(burst_size-1) & wbs_cyc_i &  wbs_we_i;
3255
assign read  = cnt==(burst_size-1) & wbs_cyc_i & !wbs_we_i;
3256
assign beginbursttransfer = cnt==4'h0 & wbs_cyc_i;
3257
assign burstcount = burst_size;
3258
// to wishbone
3259
assign wbs_dat_o = readdata;
3260
assign wbs_ack_o = wr_ack | readdatavalid;
3261
assign wbs_stall_o = waitrequest;
3262
endmodule
3263
module vl_wb_avalon_mem_cache (
3264
    wbs_dat_i, wbs_adr_i, wbs_sel_i, wbs_cti_i, wbs_bte_i, wbs_we_i, wbs_stb_i, wbs_cyc_i, wbs_dat_o, wbs_ack_o, wbs_stall_o, wbs_clk, wbs_rst,
3265
    readdata, readdatavalid, address, read, be, write, burstcount, writedata, waitrequest, beginbursttransfer, clk, rst
3266
);
3267
// wishbone
3268
parameter wb_dat_width = 32;
3269
parameter wb_adr_width = 22;
3270
parameter wb_max_burst_width = 4;
3271
parameter wb_mode = "B4";
3272
// avalon
3273
parameter avalon_dat_width = 32;
3274 121 unneback
//localparam avalon_adr_width = wb_dat_width * wb_adr_width / avalon_dat_width;
3275 122 unneback
localparam avalon_adr_width =
3276
        (wb_dat_width==avalon_dat_width) ? wb_adr_width :
3277
        (wb_dat_width==avalon_dat_width*2) ? wb_adr_width+1 :
3278
        (wb_dat_width==avalon_dat_width*4) ? wb_adr_width+2 :
3279
        (wb_dat_width==avalon_dat_width*8) ? wb_adr_width+3 :
3280
        (wb_dat_width==avalon_dat_width*16) ? wb_adr_width+4 :
3281
        (wb_dat_width==avalon_dat_width*32) ? wb_adr_width+5 :
3282
        (wb_dat_width==avalon_dat_width/2) ? wb_adr_width-1 :
3283
        (wb_dat_width==avalon_dat_width/4) ? wb_adr_width-2 :
3284
        (wb_dat_width==avalon_dat_width/8) ? wb_adr_width-3 :
3285
        (wb_dat_width==avalon_dat_width/16) ? wb_adr_width-4 :
3286 123 unneback
        (wb_dat_width==avalon_dat_width/32) ? wb_adr_width-5 : 0;
3287 103 unneback
parameter avalon_burst_size = 4;
3288
// cache
3289
parameter async = 1;
3290
parameter nr_of_ways = 1;
3291
parameter aw_offset = 4;
3292
parameter aw_slot = 10;
3293
parameter valid_mem = 1;
3294
// shadow RAM
3295
parameter shadow_ram = 0;
3296
parameter shadow_ram_adr_width = 10;
3297
parameter shadow_ram_size = 1024;
3298
parameter shadow_ram_init = 2; // 0: no init, 1: from file, 2: with zero
3299
parameter shadow_ram_file = "vl_ram.v";
3300
input [wb_dat_width-1:0] wbs_dat_i;
3301
input [wb_adr_width-1:0] wbs_adr_i; // dont include a1,a0
3302
input [wb_dat_width/8-1:0] wbs_sel_i;
3303
input [2:0] wbs_cti_i;
3304
input [1:0] wbs_bte_i;
3305
input wbs_we_i, wbs_stb_i, wbs_cyc_i;
3306
output [wb_dat_width-1:0] wbs_dat_o;
3307
output wbs_ack_o;
3308
output wbs_stall_o;
3309
input wbs_clk, wbs_rst;
3310
input [avalon_dat_width-1:0] readdata;
3311
input readdatavalid;
3312
output [avalon_dat_width-1:0] writedata;
3313
output [avalon_adr_width-1:0] address;
3314
output [avalon_dat_width/8-1:0]  be;
3315
output write;
3316
output read;
3317
output beginbursttransfer;
3318
output [3:0] burstcount;
3319
input waitrequest;
3320
input clk, rst;
3321
wire [wb_dat_width-1:0] wb1_dat_o;
3322
wire [wb_adr_width-1:0] wb1_adr_o;
3323
wire [wb_dat_width/8-1:0] wb1_sel_o;
3324
wire [2:0] wb1_cti_o;
3325
wire [1:0] wb1_bte_o;
3326
wire wb1_we_o;
3327
wire wb1_stb_o;
3328
wire wb1_cyc_o;
3329
wire wb1_stall_i;
3330
wire [wb_dat_width-1:0] wb1_dat_i;
3331
wire wb1_ack_i;
3332 129 unneback
wire [avalon_dat_width-1:0] wb2_dat_o;
3333
wire [avalon_adr_width-1:0] wb2_adr_o;
3334
wire [avalon_dat_width/8-1:0] wb2_sel_o;
3335 103 unneback
wire [2:0] wb2_cti_o;
3336
wire [1:0] wb2_bte_o;
3337
wire wb2_we_o;
3338
wire wb2_stb_o;
3339
wire wb2_cyc_o;
3340
wire wb2_stall_i;
3341 129 unneback
wire [avalon_dat_width-1:0] wb2_dat_i;
3342 103 unneback
wire wb2_ack_i;
3343
vl_wb_shadow_ram # ( .dat_width(wb_dat_width), .mode(wb_mode), .max_burst_width(wb_max_burst_width),
3344 120 unneback
                 .shadow_mem_adr_width(shadow_ram_adr_width), .shadow_mem_size(shadow_ram_size), .shadow_mem_init(shadow_ram_init), .shadow_mem_file(shadow_ram_file),
3345 103 unneback
                 .main_mem_adr_width(wb_adr_width))
3346
shadow_ram0 (
3347
    .wbs_dat_i(wbs_dat_i), .wbs_adr_i(wbs_adr_i), .wbs_cti_i(wbs_cti_i), .wbs_bte_i(wbs_bte_i), .wbs_sel_i(wbs_sel_i), .wbs_we_i(wbs_we_i), .wbs_stb_i(wbs_stb_i), .wbs_cyc_i(wbs_cyc_i),
3348
    .wbs_dat_o(wbs_dat_o), .wbs_ack_o(wbs_ack_o), .wbs_stall_o(wbs_stall_o),
3349
    .wbm_dat_o(wb1_dat_o), .wbm_adr_o(wb1_adr_o), .wbm_cti_o(wb1_cti_o), .wbm_bte_o(wb1_bte_o), .wbm_sel_o(wb1_sel_o), .wbm_we_o(wb1_we_o), .wbm_stb_o(wb1_stb_o), .wbm_cyc_o(wb1_cyc_o),
3350
    .wbm_dat_i(wb1_dat_i), .wbm_ack_i(wb1_ack_i), .wbm_stall_i(wb1_stall_i),
3351
    .wb_clk(wbs_clk), .wb_rst(wbs_rst));
3352
vl_wb_cache
3353
# ( .dw_s(wb_dat_width), .aw_s(wb_adr_width), .dw_m(avalon_dat_width), .wbs_mode(wb_mode), .wbs_max_burst_width(wb_max_burst_width), .async(async), .nr_of_ways(nr_of_ways), .aw_offset(aw_offset), .aw_slot(aw_slot), .valid_mem(valid_mem))
3354
cache0 (
3355
    .wbs_dat_i(wb1_dat_o), .wbs_adr_i(wb1_adr_o), .wbs_sel_i(wb1_sel_o), .wbs_cti_i(wb1_cti_o), .wbs_bte_i(wb1_bte_o), .wbs_we_i(wb1_we_o), .wbs_stb_i(wb1_stb_o), .wbs_cyc_i(wb1_cyc_o),
3356
    .wbs_dat_o(wb1_dat_i), .wbs_ack_o(wb1_ack_i), .wbs_stall_o(wb1_stall_i), .wbs_clk(wbs_clk), .wbs_rst(wbs_rst),
3357
    .wbm_dat_o(wb2_dat_o), .wbm_adr_o(wb2_adr_o), .wbm_sel_o(wb2_sel_o), .wbm_cti_o(wb2_cti_o), .wbm_bte_o(wb2_bte_o), .wbm_we_o(wb2_we_o), .wbm_stb_o(wb2_stb_o), .wbm_cyc_o(wb2_cyc_o),
3358
    .wbm_dat_i(wb2_dat_i), .wbm_ack_i(wb2_ack_i), .wbm_stall_i(wb2_stall_i), .wbm_clk(clk), .wbm_rst(rst));
3359
vl_wb_avalon_bridge # ( .adr_width(avalon_adr_width), .dat_width(avalon_dat_width), .burst_size(avalon_burst_size))
3360
bridge0 (
3361
        // wishbone slave side
3362
        .wbs_dat_i(wb2_dat_o), .wbs_adr_i(wb2_adr_o), .wbs_sel_i(wb2_sel_o), .wbs_bte_i(wb2_bte_o), .wbs_cti_i(wb2_cti_o), .wbs_we_i(wb2_we_o), .wbs_cyc_i(wb2_cyc_o), .wbs_stb_i(wb2_stb_o),
3363
        .wbs_dat_o(wb2_dat_i), .wbs_ack_o(wb2_ack_i), .wbs_stall_o(wb2_stall_i),
3364
        // avalon master side
3365
        .readdata(readdata), .readdatavalid(readdatavalid), .address(address), .read(read), .be(be), .write(write), .burstcount(burstcount), .writedata(writedata), .waitrequest(waitrequest), .beginbursttransfer(beginbursttransfer),
3366
        // common
3367
        .clk(clk), .rst(rst));
3368
endmodule
3369 18 unneback
//////////////////////////////////////////////////////////////////////
3370
////                                                              ////
3371
////  Arithmetic functions                                        ////
3372
////                                                              ////
3373
////  Description                                                 ////
3374
////  Arithmetic functions for ALU and DSP                        ////
3375
////                                                              ////
3376
////                                                              ////
3377
////  To Do:                                                      ////
3378
////   -                                                          ////
3379
////                                                              ////
3380
////  Author(s):                                                  ////
3381
////      - Michael Unneback, unneback@opencores.org              ////
3382
////        ORSoC AB                                              ////
3383
////                                                              ////
3384
//////////////////////////////////////////////////////////////////////
3385
////                                                              ////
3386
//// Copyright (C) 2010 Authors and OPENCORES.ORG                 ////
3387
////                                                              ////
3388
//// This source file may be used and distributed without         ////
3389
//// restriction provided that this copyright statement is not    ////
3390
//// removed from the file and that any derivative work contains  ////
3391
//// the original copyright notice and the associated disclaimer. ////
3392
////                                                              ////
3393
//// This source file is free software; you can redistribute it   ////
3394
//// and/or modify it under the terms of the GNU Lesser General   ////
3395
//// Public License as published by the Free Software Foundation; ////
3396
//// either version 2.1 of the License, or (at your option) any   ////
3397
//// later version.                                               ////
3398
////                                                              ////
3399
//// This source is distributed in the hope that it will be       ////
3400
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
3401
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
3402
//// PURPOSE.  See the GNU Lesser General Public License for more ////
3403
//// details.                                                     ////
3404
////                                                              ////
3405
//// You should have received a copy of the GNU Lesser General    ////
3406
//// Public License along with this source; if not, download it   ////
3407
//// from http://www.opencores.org/lgpl.shtml                     ////
3408
////                                                              ////
3409
//////////////////////////////////////////////////////////////////////
3410
// signed multiplication
3411
module vl_mults (a,b,p);
3412
parameter operand_a_width = 18;
3413
parameter operand_b_width = 18;
3414
parameter result_hi = 35;
3415
parameter result_lo = 0;
3416
input [operand_a_width-1:0] a;
3417
input [operand_b_width-1:0] b;
3418
output [result_hi:result_lo] p;
3419
wire signed [operand_a_width-1:0] ai;
3420
wire signed [operand_b_width-1:0] bi;
3421
wire signed [operand_a_width+operand_b_width-1:0] result;
3422
    assign ai = a;
3423
    assign bi = b;
3424
    assign result = ai * bi;
3425
    assign p = result[result_hi:result_lo];
3426
endmodule
3427
module vl_mults18x18 (a,b,p);
3428
input [17:0] a,b;
3429
output [35:0] p;
3430
vl_mult
3431
    # (.operand_a_width(18), .operand_b_width(18))
3432
    mult0 (.a(a), .b(b), .p(p));
3433
endmodule
3434
// unsigned multiplication
3435
module vl_mult (a,b,p);
3436
parameter operand_a_width = 18;
3437
parameter operand_b_width = 18;
3438
parameter result_hi = 35;
3439
parameter result_lo = 0;
3440
input [operand_a_width-1:0] a;
3441
input [operand_b_width-1:0] b;
3442
output [result_hi:result_hi] p;
3443
wire [operand_a_width+operand_b_width-1:0] result;
3444
    assign result = a * b;
3445
    assign p = result[result_hi:result_lo];
3446
endmodule
3447
// shift unit
3448
// supporting the following shift functions
3449
//   SLL
3450
//   SRL
3451
//   SRA
3452
module vl_shift_unit_32( din, s, dout, opcode);
3453
input [31:0] din; // data in operand
3454
input [4:0] s; // shift operand
3455
input [1:0] opcode;
3456
output [31:0] dout;
3457
parameter opcode_sll = 2'b00;
3458
//parameter opcode_srl = 2'b01;
3459
parameter opcode_sra = 2'b10;
3460
//parameter opcode_ror = 2'b11;
3461
wire sll, sra;
3462
assign sll = opcode == opcode_sll;
3463
assign sra = opcode == opcode_sra;
3464
wire [15:1] s1;
3465
wire [3:0] sign;
3466
wire [7:0] tmp [0:3];
3467
// first stage is multiplier based
3468
// shift operand as fractional 8.7
3469
assign s1[15] = sll & s[2:0]==3'd7;
3470
assign s1[14] = sll & s[2:0]==3'd6;
3471
assign s1[13] = sll & s[2:0]==3'd5;
3472
assign s1[12] = sll & s[2:0]==3'd4;
3473
assign s1[11] = sll & s[2:0]==3'd3;
3474
assign s1[10] = sll & s[2:0]==3'd2;
3475
assign s1[ 9] = sll & s[2:0]==3'd1;
3476
assign s1[ 8] = s[2:0]==3'd0;
3477
assign s1[ 7] = !sll & s[2:0]==3'd1;
3478
assign s1[ 6] = !sll & s[2:0]==3'd2;
3479
assign s1[ 5] = !sll & s[2:0]==3'd3;
3480
assign s1[ 4] = !sll & s[2:0]==3'd4;
3481
assign s1[ 3] = !sll & s[2:0]==3'd5;
3482
assign s1[ 2] = !sll & s[2:0]==3'd6;
3483
assign s1[ 1] = !sll & s[2:0]==3'd7;
3484
assign sign[3] = din[31] & sra;
3485
assign sign[2] = sign[3] & (&din[31:24]);
3486
assign sign[1] = sign[2] & (&din[23:16]);
3487
assign sign[0] = sign[1] & (&din[15:8]);
3488
vl_mults # ( .operand_a_width(25), .operand_b_width(16), .result_hi(14), .result_lo(7)) mult_byte3 ( .a({sign[3], {8{sign[3]}},din[31:24], din[23:16]}), .b({1'b0,s1}), .p(tmp[3]));
3489
vl_mults # ( .operand_a_width(25), .operand_b_width(16), .result_hi(14), .result_lo(7)) mult_byte2 ( .a({sign[2], din[31:24]  ,din[23:16],  din[15:8]}), .b({1'b0,s1}), .p(tmp[2]));
3490
vl_mults # ( .operand_a_width(25), .operand_b_width(16), .result_hi(14), .result_lo(7)) mult_byte1 ( .a({sign[1], din[23:16]  ,din[15:8],   din[7:0]}), .b({1'b0,s1}), .p(tmp[1]));
3491
vl_mults # ( .operand_a_width(25), .operand_b_width(16), .result_hi(14), .result_lo(7)) mult_byte0 ( .a({sign[0], din[15:8]   ,din[7:0],    8'h00}),      .b({1'b0,s1}), .p(tmp[0]));
3492
// second stage is multiplexer based
3493
// shift on byte level
3494
// mux byte 3
3495
assign dout[31:24] = (s[4:3]==2'b00) ? tmp[3] :
3496
                     (sll & s[4:3]==2'b01) ? tmp[2] :
3497
                     (sll & s[4:3]==2'b10) ? tmp[1] :
3498
                     (sll & s[4:3]==2'b11) ? tmp[0] :
3499
                     {8{sign[3]}};
3500
// mux byte 2
3501
assign dout[23:16] = (s[4:3]==2'b00) ? tmp[2] :
3502
                     (sll & s[4:3]==2'b01) ? tmp[1] :
3503
                     (sll & s[4:3]==2'b10) ? tmp[0] :
3504
                     (sll & s[4:3]==2'b11) ? {8{1'b0}} :
3505
                     (s[4:3]==2'b01) ? tmp[3] :
3506
                     {8{sign[3]}};
3507
// mux byte 1
3508
assign dout[15:8]  = (s[4:3]==2'b00) ? tmp[1] :
3509
                     (sll & s[4:3]==2'b01) ? tmp[0] :
3510
                     (sll & s[4:3]==2'b10) ? {8{1'b0}} :
3511
                     (sll & s[4:3]==2'b11) ? {8{1'b0}} :
3512
                     (s[4:3]==2'b01) ? tmp[2] :
3513
                     (s[4:3]==2'b10) ? tmp[3] :
3514
                     {8{sign[3]}};
3515
// mux byte 0
3516
assign dout[7:0]   = (s[4:3]==2'b00) ? tmp[0] :
3517
                     (sll) ?  {8{1'b0}}:
3518
                     (s[4:3]==2'b01) ? tmp[1] :
3519
                     (s[4:3]==2'b10) ? tmp[2] :
3520
                     tmp[3];
3521
endmodule
3522
// logic unit
3523
// supporting the following logic functions
3524
//    a and b
3525
//    a or  b
3526
//    a xor b
3527
//    not b
3528
module vl_logic_unit( a, b, result, opcode);
3529
parameter width = 32;
3530
parameter opcode_and = 2'b00;
3531
parameter opcode_or  = 2'b01;
3532
parameter opcode_xor = 2'b10;
3533
input [width-1:0] a,b;
3534
output [width-1:0] result;
3535
input [1:0] opcode;
3536
assign result = (opcode==opcode_and) ? a & b :
3537
                (opcode==opcode_or)  ? a | b :
3538
                (opcode==opcode_xor) ? a ^ b :
3539
                b;
3540
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.