OpenCores
URL https://opencores.org/ocsvn/versatile_library/versatile_library/trunk

Subversion Repositories versatile_library

[/] [versatile_library/] [trunk/] [rtl/] [verilog/] [versatile_library_altera.v] - Blame information for rev 77

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 60 unneback
// default SYN_KEEP definition
2 6 unneback
//////////////////////////////////////////////////////////////////////
3
////                                                              ////
4
////  Versatile library, clock and reset                          ////
5
////                                                              ////
6
////  Description                                                 ////
7
////  Logic related to clock and reset                            ////
8
////                                                              ////
9
////                                                              ////
10
////  To Do:                                                      ////
11
////   - add more different registers                             ////
12
////                                                              ////
13
////  Author(s):                                                  ////
14
////      - Michael Unneback, unneback@opencores.org              ////
15
////        ORSoC AB                                              ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2010 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43 21 unneback
//altera
44 33 unneback
module vl_gbuf ( i, o);
45
input i;
46
output o;
47
assign o = i;
48
endmodule
49 6 unneback
 // ALTERA
50
 //ACTEL
51
// sync reset
52 17 unneback
// input active lo async reset, normally from external reset generator and/or switch
53 6 unneback
// output active high global reset sync with two DFFs 
54
`timescale 1 ns/100 ps
55
module vl_sync_rst ( rst_n_i, rst_o, clk);
56
input rst_n_i, clk;
57
output rst_o;
58 18 unneback
reg [1:0] tmp;
59 6 unneback
always @ (posedge clk or negedge rst_n_i)
60
if (!rst_n_i)
61 17 unneback
        tmp <= 2'b11;
62 6 unneback
else
63 33 unneback
        tmp <= {1'b0,tmp[1]};
64 17 unneback
vl_gbuf buf_i0( .i(tmp[0]), .o(rst_o));
65 6 unneback
endmodule
66
// vl_pll
67 32 unneback
///////////////////////////////////////////////////////////////////////////////
68
`timescale 1 ps/1 ps
69
module vl_pll ( clk_i, rst_n_i, lock, clk_o, rst_o);
70
parameter index = 0;
71
parameter number_of_clk = 1;
72
parameter period_time_0 = 20000;
73
parameter period_time_1 = 20000;
74
parameter period_time_2 = 20000;
75
parameter period_time_3 = 20000;
76
parameter period_time_4 = 20000;
77
parameter lock_delay = 2000000;
78
input clk_i, rst_n_i;
79
output lock;
80
output reg [0:number_of_clk-1] clk_o;
81
output [0:number_of_clk-1] rst_o;
82 33 unneback
`ifdef SIM_PLL
83 32 unneback
always
84
     #((period_time_0)/2) clk_o[0] <=  (!rst_n_i) ? 0 : ~clk_o[0];
85
generate if (number_of_clk > 1)
86
always
87
     #((period_time_1)/2) clk_o[1] <=  (!rst_n_i) ? 0 : ~clk_o[1];
88
endgenerate
89
generate if (number_of_clk > 2)
90
always
91
     #((period_time_2)/2) clk_o[2] <=  (!rst_n_i) ? 0 : ~clk_o[2];
92
endgenerate
93 33 unneback
generate if (number_of_clk > 3)
94 32 unneback
always
95
     #((period_time_3)/2) clk_o[3] <=  (!rst_n_i) ? 0 : ~clk_o[3];
96
endgenerate
97 33 unneback
generate if (number_of_clk > 4)
98 32 unneback
always
99
     #((period_time_4)/2) clk_o[4] <=  (!rst_n_i) ? 0 : ~clk_o[4];
100
endgenerate
101
genvar i;
102
generate for (i=0;i<number_of_clk;i=i+1) begin: clock
103
     vl_sync_rst rst_i0 ( .rst_n_i(rst_n_i | lock), .rst_o(rst_o[i]), .clk(clk_o[i]));
104
end
105
endgenerate
106 33 unneback
//assign #lock_delay lock = rst_n_i;
107
assign lock = rst_n_i;
108 32 unneback
endmodule
109 33 unneback
`else
110
`ifdef VL_PLL0
111
`ifdef VL_PLL0_CLK1
112
    pll0 pll0_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]));
113
`endif
114
`ifdef VL_PLL0_CLK2
115
    pll0 pll0_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]), .c1(clk_o[1]));
116
`endif
117
`ifdef VL_PLL0_CLK3
118
    pll0 pll0_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]), .c1(clk_o[1]), .c2(clk_o[2]));
119
`endif
120
`ifdef VL_PLL0_CLK4
121
    pll0 pll0_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]), .c1(clk_o[1]), .c2(clk_o[2]), .c3(clk_o[3]));
122
`endif
123
`ifdef VL_PLL0_CLK5
124
    pll0 pll0_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]), .c1(clk_o[1]), .c2(clk_o[2]), .c3(clk_o[3]), .c4(clk_o[4]));
125
`endif
126
`endif
127
`ifdef VL_PLL1
128
`ifdef VL_PLL1_CLK1
129
    pll1 pll1_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]));
130
`endif
131
`ifdef VL_PLL1_CLK2
132
    pll1 pll1_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]), .c1(clk_o[1]));
133
`endif
134
`ifdef VL_PLL1_CLK3
135
    pll1 pll1_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]), .c1(clk_o[1]), .c2(clk_o[2]));
136
`endif
137
`ifdef VL_PLL1_CLK4
138
    pll1 pll1_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]), .c1(clk_o[1]), .c2(clk_o[2]), .c3(clk_o[3]));
139
`endif
140
`ifdef VL_PLL1_CLK5
141
    pll1 pll1_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]), .c1(clk_o[1]), .c2(clk_o[2]), .c3(clk_o[3]), .c4(clk_o[4]));
142
`endif
143
`endif
144
`ifdef VL_PLL2
145
`ifdef VL_PLL2_CLK1
146
    pll2 pll2_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]));
147
`endif
148
`ifdef VL_PLL2_CLK2
149
    pll2 pll2_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]), .c1(clk_o[1]));
150
`endif
151
`ifdef VL_PLL2_CLK3
152
    pll2 pll2_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]), .c1(clk_o[1]), .c2(clk_o[2]));
153
`endif
154
`ifdef VL_PLL2_CLK4
155
    pll2 pll2_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]), .c1(clk_o[1]), .c2(clk_o[2]), .c3(clk_o[3]));
156
`endif
157
`ifdef VL_PLL2_CLK5
158
    pll2 pll2_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]), .c1(clk_o[1]), .c2(clk_o[2]), .c3(clk_o[3]), .c4(clk_o[4]));
159
`endif
160
`endif
161
`ifdef VL_PLL3
162
`ifdef VL_PLL3_CLK1
163
    pll3 pll3_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]));
164
`endif
165
`ifdef VL_PLL3_CLK2
166
    pll3 pll3_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]), .c1(clk_o[1]));
167
`endif
168
`ifdef VL_PLL3_CLK3
169
    pll3 pll3_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]), .c1(clk_o[1]), .c2(clk_o[2]));
170
`endif
171
`ifdef VL_PLL3_CLK4
172
    pll3 pll3_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]), .c1(clk_o[1]), .c2(clk_o[2]), .c3(clk_o[3]));
173
`endif
174
`ifdef VL_PLL3_CLK5
175
    pll3 pll3_i0 (.areset(rst_n_i), .inclk0(clk_i), .locked(lock), .c0(clk_o[0]), .c1(clk_o[1]), .c2(clk_o[2]), .c3(clk_o[3]), .c4(clk_o[4]));
176
`endif
177
`endif
178 32 unneback
genvar i;
179
generate for (i=0;i<number_of_clk;i=i+1) begin: clock
180 40 unneback
        vl_sync_rst rst_i0 ( .rst_n_i(rst_n_i | lock), .rst_o(rst_o[i]), .clk(clk_o[i]));
181 32 unneback
end
182
endgenerate
183
endmodule
184 33 unneback
`endif
185 32 unneback
///////////////////////////////////////////////////////////////////////////////
186 6 unneback
 //altera
187
 //actel
188
//////////////////////////////////////////////////////////////////////
189
////                                                              ////
190
////  Versatile library, registers                                ////
191
////                                                              ////
192
////  Description                                                 ////
193
////  Different type of registers                                 ////
194
////                                                              ////
195
////                                                              ////
196
////  To Do:                                                      ////
197
////   - add more different registers                             ////
198
////                                                              ////
199
////  Author(s):                                                  ////
200
////      - Michael Unneback, unneback@opencores.org              ////
201
////        ORSoC AB                                              ////
202
////                                                              ////
203
//////////////////////////////////////////////////////////////////////
204
////                                                              ////
205
//// Copyright (C) 2010 Authors and OPENCORES.ORG                 ////
206
////                                                              ////
207
//// This source file may be used and distributed without         ////
208
//// restriction provided that this copyright statement is not    ////
209
//// removed from the file and that any derivative work contains  ////
210
//// the original copyright notice and the associated disclaimer. ////
211
////                                                              ////
212
//// This source file is free software; you can redistribute it   ////
213
//// and/or modify it under the terms of the GNU Lesser General   ////
214
//// Public License as published by the Free Software Foundation; ////
215
//// either version 2.1 of the License, or (at your option) any   ////
216
//// later version.                                               ////
217
////                                                              ////
218
//// This source is distributed in the hope that it will be       ////
219
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
220
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
221
//// PURPOSE.  See the GNU Lesser General Public License for more ////
222
//// details.                                                     ////
223
////                                                              ////
224
//// You should have received a copy of the GNU Lesser General    ////
225
//// Public License along with this source; if not, download it   ////
226
//// from http://www.opencores.org/lgpl.shtml                     ////
227
////                                                              ////
228
//////////////////////////////////////////////////////////////////////
229 18 unneback
module vl_dff ( d, q, clk, rst);
230 6 unneback
        parameter width = 1;
231
        parameter reset_value = 0;
232
        input [width-1:0] d;
233
        input clk, rst;
234
        output reg [width-1:0] q;
235
        always @ (posedge clk or posedge rst)
236
        if (rst)
237
                q <= reset_value;
238
        else
239
                q <= d;
240
endmodule
241 18 unneback
module vl_dff_array ( d, q, clk, rst);
242 6 unneback
        parameter width = 1;
243
        parameter depth = 2;
244
        parameter reset_value = 1'b0;
245
        input [width-1:0] d;
246
        input clk, rst;
247
        output [width-1:0] q;
248
        reg  [0:depth-1] q_tmp [width-1:0];
249
        integer i;
250
        always @ (posedge clk or posedge rst)
251
        if (rst) begin
252
            for (i=0;i<depth;i=i+1)
253
                q_tmp[i] <= {width{reset_value}};
254
        end else begin
255
            q_tmp[0] <= d;
256
            for (i=1;i<depth;i=i+1)
257
                q_tmp[i] <= q_tmp[i-1];
258
        end
259
    assign q = q_tmp[depth-1];
260
endmodule
261 18 unneback
module vl_dff_ce ( d, ce, q, clk, rst);
262 6 unneback
        parameter width = 1;
263
        parameter reset_value = 0;
264
        input [width-1:0] d;
265
        input ce, clk, rst;
266
        output reg [width-1:0] q;
267
        always @ (posedge clk or posedge rst)
268
        if (rst)
269
                q <= reset_value;
270
        else
271
                if (ce)
272
                        q <= d;
273
endmodule
274 18 unneback
module vl_dff_ce_clear ( d, ce, clear, q, clk, rst);
275 8 unneback
        parameter width = 1;
276
        parameter reset_value = 0;
277
        input [width-1:0] d;
278 10 unneback
        input ce, clear, clk, rst;
279 8 unneback
        output reg [width-1:0] q;
280
        always @ (posedge clk or posedge rst)
281
        if (rst)
282
            q <= reset_value;
283
        else
284
            if (ce)
285
                if (clear)
286
                    q <= {width{1'b0}};
287
                else
288
                    q <= d;
289
endmodule
290 24 unneback
module vl_dff_ce_set ( d, ce, set, q, clk, rst);
291
        parameter width = 1;
292
        parameter reset_value = 0;
293
        input [width-1:0] d;
294
        input ce, set, clk, rst;
295
        output reg [width-1:0] q;
296
        always @ (posedge clk or posedge rst)
297
        if (rst)
298
            q <= reset_value;
299
        else
300
            if (ce)
301
                if (set)
302
                    q <= {width{1'b1}};
303
                else
304
                    q <= d;
305
endmodule
306 29 unneback
module vl_spr ( sp, r, q, clk, rst);
307 64 unneback
        //parameter width = 1;
308
        parameter reset_value = 1'b0;
309 29 unneback
        input sp, r;
310
        output reg q;
311
        input clk, rst;
312
        always @ (posedge clk or posedge rst)
313
        if (rst)
314
            q <= reset_value;
315
        else
316
            if (sp)
317
                q <= 1'b1;
318
            else if (r)
319
                q <= 1'b0;
320
endmodule
321
module vl_srp ( s, rp, q, clk, rst);
322
        parameter width = 1;
323
        parameter reset_value = 0;
324
        input s, rp;
325
        output reg q;
326
        input clk, rst;
327
        always @ (posedge clk or posedge rst)
328
        if (rst)
329
            q <= reset_value;
330
        else
331
            if (rp)
332
                q <= 1'b0;
333
            else if (s)
334
                q <= 1'b1;
335
endmodule
336 6 unneback
// megafunction wizard: %LPM_FF%
337
// GENERATION: STANDARD
338
// VERSION: WM1.0
339
// MODULE: lpm_ff 
340
// ============================================================
341
// File Name: dff_sr.v
342
// Megafunction Name(s):
343
//                      lpm_ff
344
//
345
// Simulation Library Files(s):
346
//                      lpm
347
// ============================================================
348
// ************************************************************
349
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
350
//
351
// 9.1 Build 304 01/25/2010 SP 1 SJ Full Version
352
// ************************************************************
353
//Copyright (C) 1991-2010 Altera Corporation
354
//Your use of Altera Corporation's design tools, logic functions 
355
//and other software and tools, and its AMPP partner logic 
356
//functions, and any output files from any of the foregoing 
357
//(including device programming or simulation files), and any 
358
//associated documentation or information are expressly subject 
359
//to the terms and conditions of the Altera Program License 
360
//Subscription Agreement, Altera MegaCore Function License 
361
//Agreement, or other applicable license agreement, including, 
362
//without limitation, that your use is for the sole purpose of 
363
//programming logic devices manufactured by Altera and sold by 
364
//Altera or its authorized distributors.  Please refer to the 
365
//applicable agreement for further details.
366
// synopsys translate_off
367
`timescale 1 ps / 1 ps
368
// synopsys translate_on
369 18 unneback
module vl_dff_sr (
370 6 unneback
        aclr,
371
        aset,
372
        clock,
373
        data,
374
        q);
375
        input     aclr;
376
        input     aset;
377
        input     clock;
378
        input     data;
379
        output    q;
380
        wire [0:0] sub_wire0;
381
        wire [0:0] sub_wire1 = sub_wire0[0:0];
382
        wire  q = sub_wire1;
383
        wire  sub_wire2 = data;
384
        wire  sub_wire3 = sub_wire2;
385
        lpm_ff  lpm_ff_component (
386
                                .aclr (aclr),
387
                                .clock (clock),
388
                                .data (sub_wire3),
389
                                .aset (aset),
390
                                .q (sub_wire0)
391
                                // synopsys translate_off
392
                                ,
393
                                .aload (),
394
                                .enable (),
395
                                .sclr (),
396
                                .sload (),
397
                                .sset ()
398
                                // synopsys translate_on
399
                                );
400
        defparam
401
                lpm_ff_component.lpm_fftype = "DFF",
402
                lpm_ff_component.lpm_type = "LPM_FF",
403
                lpm_ff_component.lpm_width = 1;
404
endmodule
405
// ============================================================
406
// CNX file retrieval info
407
// ============================================================
408
// Retrieval info: PRIVATE: ACLR NUMERIC "1"
409
// Retrieval info: PRIVATE: ALOAD NUMERIC "0"
410
// Retrieval info: PRIVATE: ASET NUMERIC "1"
411
// Retrieval info: PRIVATE: ASET_ALL1 NUMERIC "1"
412
// Retrieval info: PRIVATE: CLK_EN NUMERIC "0"
413
// Retrieval info: PRIVATE: DFF NUMERIC "1"
414
// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E"
415
// Retrieval info: PRIVATE: SCLR NUMERIC "0"
416
// Retrieval info: PRIVATE: SLOAD NUMERIC "0"
417
// Retrieval info: PRIVATE: SSET NUMERIC "0"
418
// Retrieval info: PRIVATE: SSET_ALL1 NUMERIC "1"
419
// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
420
// Retrieval info: PRIVATE: UseTFFdataPort NUMERIC "0"
421
// Retrieval info: PRIVATE: nBit NUMERIC "1"
422
// Retrieval info: CONSTANT: LPM_FFTYPE STRING "DFF"
423
// Retrieval info: CONSTANT: LPM_TYPE STRING "LPM_FF"
424
// Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "1"
425
// Retrieval info: USED_PORT: aclr 0 0 0 0 INPUT NODEFVAL aclr
426
// Retrieval info: USED_PORT: aset 0 0 0 0 INPUT NODEFVAL aset
427
// Retrieval info: USED_PORT: clock 0 0 0 0 INPUT NODEFVAL clock
428
// Retrieval info: USED_PORT: data 0 0 0 0 INPUT NODEFVAL data
429
// Retrieval info: USED_PORT: q 0 0 0 0 OUTPUT NODEFVAL q
430
// Retrieval info: CONNECT: @clock 0 0 0 0 clock 0 0 0 0
431
// Retrieval info: CONNECT: q 0 0 0 0 @q 0 0 1 0
432
// Retrieval info: CONNECT: @aclr 0 0 0 0 aclr 0 0 0 0
433
// Retrieval info: CONNECT: @aset 0 0 0 0 aset 0 0 0 0
434
// Retrieval info: CONNECT: @data 0 0 1 0 data 0 0 0 0
435
// Retrieval info: LIBRARY: lpm lpm.lpm_components.all
436
// Retrieval info: GEN_FILE: TYPE_NORMAL dff_sr.v TRUE
437
// Retrieval info: GEN_FILE: TYPE_NORMAL dff_sr.inc FALSE
438
// Retrieval info: GEN_FILE: TYPE_NORMAL dff_sr.cmp FALSE
439
// Retrieval info: GEN_FILE: TYPE_NORMAL dff_sr.bsf FALSE
440
// Retrieval info: GEN_FILE: TYPE_NORMAL dff_sr_inst.v FALSE
441
// Retrieval info: GEN_FILE: TYPE_NORMAL dff_sr_bb.v FALSE
442
// Retrieval info: LIB_FILE: lpm
443
// LATCH
444
// For targtes not supporting LATCH use dff_sr with clk=1 and data=1
445 18 unneback
module vl_latch ( d, le, q, clk);
446 6 unneback
input d, le;
447
output q;
448
input clk;
449
dff_sr i0 (.aclr(), .aset(), .clock(1'b1), .data(1'b1), .q(q));
450
endmodule
451 18 unneback
module vl_shreg ( d, q, clk, rst);
452 17 unneback
parameter depth = 10;
453
input d;
454
output q;
455
input clk, rst;
456
reg [1:depth] dffs;
457
always @ (posedge clk or posedge rst)
458
if (rst)
459
    dffs <= {depth{1'b0}};
460
else
461
    dffs <= {d,dffs[1:depth-1]};
462
assign q = dffs[depth];
463
endmodule
464 18 unneback
module vl_shreg_ce ( d, ce, q, clk, rst);
465 17 unneback
parameter depth = 10;
466
input d, ce;
467
output q;
468
input clk, rst;
469
reg [1:depth] dffs;
470
always @ (posedge clk or posedge rst)
471
if (rst)
472
    dffs <= {depth{1'b0}};
473
else
474
    if (ce)
475
        dffs <= {d,dffs[1:depth-1]};
476
assign q = dffs[depth];
477
endmodule
478 18 unneback
module vl_delay ( d, q, clk, rst);
479 15 unneback
parameter depth = 10;
480
input d;
481
output q;
482
input clk, rst;
483
reg [1:depth] dffs;
484
always @ (posedge clk or posedge rst)
485
if (rst)
486
    dffs <= {depth{1'b0}};
487
else
488
    dffs <= {d,dffs[1:depth-1]};
489
assign q = dffs[depth];
490
endmodule
491 18 unneback
module vl_delay_emptyflag ( d, q, emptyflag, clk, rst);
492 17 unneback
parameter depth = 10;
493
input d;
494
output q, emptyflag;
495
input clk, rst;
496
reg [1:depth] dffs;
497
always @ (posedge clk or posedge rst)
498
if (rst)
499
    dffs <= {depth{1'b0}};
500
else
501
    dffs <= {d,dffs[1:depth-1]};
502
assign q = dffs[depth];
503
assign emptyflag = !(|dffs);
504
endmodule
505 6 unneback
//////////////////////////////////////////////////////////////////////
506
////                                                              ////
507 18 unneback
////  Logic functions                                             ////
508
////                                                              ////
509
////  Description                                                 ////
510
////  Logic functions such as multiplexers                        ////
511
////                                                              ////
512
////                                                              ////
513
////  To Do:                                                      ////
514
////   -                                                          ////
515
////                                                              ////
516
////  Author(s):                                                  ////
517
////      - Michael Unneback, unneback@opencores.org              ////
518
////        ORSoC AB                                              ////
519
////                                                              ////
520
//////////////////////////////////////////////////////////////////////
521
////                                                              ////
522
//// Copyright (C) 2010 Authors and OPENCORES.ORG                 ////
523
////                                                              ////
524
//// This source file may be used and distributed without         ////
525
//// restriction provided that this copyright statement is not    ////
526
//// removed from the file and that any derivative work contains  ////
527
//// the original copyright notice and the associated disclaimer. ////
528
////                                                              ////
529
//// This source file is free software; you can redistribute it   ////
530
//// and/or modify it under the terms of the GNU Lesser General   ////
531
//// Public License as published by the Free Software Foundation; ////
532
//// either version 2.1 of the License, or (at your option) any   ////
533
//// later version.                                               ////
534
////                                                              ////
535
//// This source is distributed in the hope that it will be       ////
536
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
537
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
538
//// PURPOSE.  See the GNU Lesser General Public License for more ////
539
//// details.                                                     ////
540
////                                                              ////
541
//// You should have received a copy of the GNU Lesser General    ////
542
//// Public License along with this source; if not, download it   ////
543
//// from http://www.opencores.org/lgpl.shtml                     ////
544
////                                                              ////
545
//////////////////////////////////////////////////////////////////////
546 36 unneback
module vl_mux_andor ( a, sel, dout);
547
parameter width = 32;
548
parameter nr_of_ports = 4;
549
input [nr_of_ports*width-1:0] a;
550
input [nr_of_ports-1:0] sel;
551
output reg [width-1:0] dout;
552 38 unneback
integer i,j;
553 36 unneback
always @ (a, sel)
554
begin
555
    dout = a[width-1:0] & {width{sel[0]}};
556 42 unneback
    for (i=1;i<nr_of_ports;i=i+1)
557
        for (j=0;j<width;j=j+1)
558
            dout[j] = (a[i*width + j] & sel[i]) | dout[j];
559 36 unneback
end
560
endmodule
561 34 unneback
module vl_mux2_andor ( a1, a0, sel, dout);
562
parameter width = 32;
563 35 unneback
localparam nr_of_ports = 2;
564 34 unneback
input [width-1:0] a1, a0;
565
input [nr_of_ports-1:0] sel;
566
output [width-1:0] dout;
567 36 unneback
vl_mux_andor
568 38 unneback
    # ( .width(width), .nr_of_ports(nr_of_ports))
569 36 unneback
    mux0( .a({a1,a0}), .sel(sel), .dout(dout));
570 34 unneback
endmodule
571
module vl_mux3_andor ( a2, a1, a0, sel, dout);
572
parameter width = 32;
573 35 unneback
localparam nr_of_ports = 3;
574 34 unneback
input [width-1:0] a2, a1, a0;
575
input [nr_of_ports-1:0] sel;
576
output [width-1:0] dout;
577 36 unneback
vl_mux_andor
578 38 unneback
    # ( .width(width), .nr_of_ports(nr_of_ports))
579 36 unneback
    mux0( .a({a2,a1,a0}), .sel(sel), .dout(dout));
580 34 unneback
endmodule
581 18 unneback
module vl_mux4_andor ( a3, a2, a1, a0, sel, dout);
582
parameter width = 32;
583 35 unneback
localparam nr_of_ports = 4;
584 18 unneback
input [width-1:0] a3, a2, a1, a0;
585
input [nr_of_ports-1:0] sel;
586 22 unneback
output [width-1:0] dout;
587 36 unneback
vl_mux_andor
588 38 unneback
    # ( .width(width), .nr_of_ports(nr_of_ports))
589 36 unneback
    mux0( .a({a3,a2,a1,a0}), .sel(sel), .dout(dout));
590 18 unneback
endmodule
591
module vl_mux5_andor ( a4, a3, a2, a1, a0, sel, dout);
592
parameter width = 32;
593 35 unneback
localparam nr_of_ports = 5;
594 18 unneback
input [width-1:0] a4, a3, a2, a1, a0;
595
input [nr_of_ports-1:0] sel;
596 22 unneback
output [width-1:0] dout;
597 36 unneback
vl_mux_andor
598 38 unneback
    # ( .width(width), .nr_of_ports(nr_of_ports))
599 36 unneback
    mux0( .a({a4,a3,a2,a1,a0}), .sel(sel), .dout(dout));
600 18 unneback
endmodule
601
module vl_mux6_andor ( a5, a4, a3, a2, a1, a0, sel, dout);
602
parameter width = 32;
603 35 unneback
localparam nr_of_ports = 6;
604 18 unneback
input [width-1:0] a5, a4, a3, a2, a1, a0;
605
input [nr_of_ports-1:0] sel;
606 22 unneback
output [width-1:0] dout;
607 36 unneback
vl_mux_andor
608 38 unneback
    # ( .width(width), .nr_of_ports(nr_of_ports))
609 36 unneback
    mux0( .a({a5,a4,a3,a2,a1,a0}), .sel(sel), .dout(dout));
610 18 unneback
endmodule
611 43 unneback
module vl_parity_generate (data, parity);
612
parameter word_size = 32;
613
parameter chunk_size = 8;
614
parameter parity_type = 1'b0; // 0 - even, 1 - odd parity
615
input [word_size-1:0] data;
616
output reg [word_size/chunk_size-1:0] parity;
617
integer i,j;
618
always @ (data)
619
for (i=0;i<word_size/chunk_size;i=i+1) begin
620
    parity[i] = parity_type;
621
    for (j=0;j<chunk_size;j=j+1) begin
622 46 unneback
        parity[i] = data[i*chunk_size+j] ^ parity[i];
623 43 unneback
    end
624
end
625
endmodule
626
module vl_parity_check( data, parity, parity_error);
627
parameter word_size = 32;
628
parameter chunk_size = 8;
629
parameter parity_type = 1'b0; // 0 - even, 1 - odd parity
630
input [word_size-1:0] data;
631
input [word_size/chunk_size-1:0] parity;
632
output parity_error;
633 44 unneback
reg [word_size/chunk_size-1:0] error_flag;
634 43 unneback
integer i,j;
635
always @ (data or parity)
636
for (i=0;i<word_size/chunk_size;i=i+1) begin
637
    error_flag[i] = parity[i] ^ parity_type;
638
    for (j=0;j<chunk_size;j=j+1) begin
639 46 unneback
        error_flag[i] = data[i*chunk_size+j] ^ error_flag[i];
640 43 unneback
    end
641
end
642
assign parity_error = |error_flag;
643
endmodule
644 18 unneback
//////////////////////////////////////////////////////////////////////
645
////                                                              ////
646 44 unneback
////  IO functions                                                ////
647
////                                                              ////
648
////  Description                                                 ////
649
////  IO functions such as IOB flip-flops                         ////
650
////                                                              ////
651
////                                                              ////
652
////  To Do:                                                      ////
653
////   -                                                          ////
654
////                                                              ////
655
////  Author(s):                                                  ////
656
////      - Michael Unneback, unneback@opencores.org              ////
657
////        ORSoC AB                                              ////
658
////                                                              ////
659
//////////////////////////////////////////////////////////////////////
660
////                                                              ////
661
//// Copyright (C) 2010 Authors and OPENCORES.ORG                 ////
662
////                                                              ////
663
//// This source file may be used and distributed without         ////
664
//// restriction provided that this copyright statement is not    ////
665
//// removed from the file and that any derivative work contains  ////
666
//// the original copyright notice and the associated disclaimer. ////
667
////                                                              ////
668
//// This source file is free software; you can redistribute it   ////
669
//// and/or modify it under the terms of the GNU Lesser General   ////
670
//// Public License as published by the Free Software Foundation; ////
671
//// either version 2.1 of the License, or (at your option) any   ////
672
//// later version.                                               ////
673
////                                                              ////
674
//// This source is distributed in the hope that it will be       ////
675
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
676
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
677
//// PURPOSE.  See the GNU Lesser General Public License for more ////
678
//// details.                                                     ////
679
////                                                              ////
680
//// You should have received a copy of the GNU Lesser General    ////
681
//// Public License along with this source; if not, download it   ////
682
//// from http://www.opencores.org/lgpl.shtml                     ////
683
////                                                              ////
684
//////////////////////////////////////////////////////////////////////
685 45 unneback
`timescale 1ns/1ns
686 44 unneback
module vl_o_dff (d_i, o_pad, clk, rst);
687
parameter width = 1;
688 45 unneback
parameter reset_value = {width{1'b0}};
689
input  [width-1:0]  d_i;
690 44 unneback
output [width-1:0] o_pad;
691
input clk, rst;
692 60 unneback
wire [width-1:0] d_i_int /*synthesis syn_keep = 1*/;
693 45 unneback
reg  [width-1:0] o_pad_int;
694 44 unneback
assign d_i_int = d_i;
695
genvar i;
696 45 unneback
generate
697 44 unneback
for (i=0;i<width;i=i+1) begin
698
    always @ (posedge clk or posedge rst)
699
    if (rst)
700 45 unneback
        o_pad_int[i] <= reset_value[i];
701 44 unneback
    else
702 45 unneback
        o_pad_int[i] <= d_i_int[i];
703
    assign #1 o_pad[i] = o_pad_int[i];
704 44 unneback
end
705
endgenerate
706
endmodule
707 45 unneback
`timescale 1ns/1ns
708 44 unneback
module vl_io_dff_oe ( d_i, d_o, oe, io_pad, clk, rst);
709
parameter width = 1;
710
input  [width-1:0] d_o;
711
output reg [width-1:0] d_i;
712
input oe;
713
inout [width-1:0] io_pad;
714
input clk, rst;
715 60 unneback
wire [width-1:0] oe_d /*synthesis syn_keep = 1*/;
716 44 unneback
reg [width-1:0] oe_q;
717
reg [width-1:0] d_o_q;
718
assign oe_d = {width{oe}};
719
genvar i;
720
generate
721
for (i=0;i<width;i=i+1) begin
722
    always @ (posedge clk or posedge rst)
723
    if (rst)
724
        oe_q[i] <= 1'b0;
725
    else
726
        oe_q[i] <= oe_d[i];
727
    always @ (posedge clk or posedge rst)
728
    if (rst)
729
        d_o_q[i] <= 1'b0;
730
    else
731
        d_o_q[i] <= d_o[i];
732
    always @ (posedge clk or posedge rst)
733
    if (rst)
734
        d_i[i] <= 1'b0;
735
    else
736
        d_i[i] <= io_pad[i];
737 45 unneback
    assign #1 io_pad[i] = (oe_q[i]) ? d_o_q[i] : 1'bz;
738 44 unneback
end
739
endgenerate
740
endmodule
741
//////////////////////////////////////////////////////////////////////
742
////                                                              ////
743 6 unneback
////  Versatile counter                                           ////
744
////                                                              ////
745
////  Description                                                 ////
746
////  Versatile counter, a reconfigurable binary, gray or LFSR    ////
747
////  counter                                                     ////
748
////                                                              ////
749
////  To Do:                                                      ////
750
////   - add LFSR with more taps                                  ////
751
////                                                              ////
752
////  Author(s):                                                  ////
753
////      - Michael Unneback, unneback@opencores.org              ////
754
////        ORSoC AB                                              ////
755
////                                                              ////
756
//////////////////////////////////////////////////////////////////////
757
////                                                              ////
758
//// Copyright (C) 2009 Authors and OPENCORES.ORG                 ////
759
////                                                              ////
760
//// This source file may be used and distributed without         ////
761
//// restriction provided that this copyright statement is not    ////
762
//// removed from the file and that any derivative work contains  ////
763
//// the original copyright notice and the associated disclaimer. ////
764
////                                                              ////
765
//// This source file is free software; you can redistribute it   ////
766
//// and/or modify it under the terms of the GNU Lesser General   ////
767
//// Public License as published by the Free Software Foundation; ////
768
//// either version 2.1 of the License, or (at your option) any   ////
769
//// later version.                                               ////
770
////                                                              ////
771
//// This source is distributed in the hope that it will be       ////
772
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
773
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
774
//// PURPOSE.  See the GNU Lesser General Public License for more ////
775
//// details.                                                     ////
776
////                                                              ////
777
//// You should have received a copy of the GNU Lesser General    ////
778
//// Public License along with this source; if not, download it   ////
779
//// from http://www.opencores.org/lgpl.shtml                     ////
780
////                                                              ////
781
//////////////////////////////////////////////////////////////////////
782
// binary counter
783 40 unneback
module vl_cnt_bin_ce (
784
 cke, q, rst, clk);
785 22 unneback
   parameter length = 4;
786 6 unneback
   input cke;
787
   output [length:1] q;
788
   input rst;
789
   input clk;
790
   parameter clear_value = 0;
791
   parameter set_value = 1;
792
   parameter wrap_value = 0;
793
   parameter level1_value = 15;
794
   reg  [length:1] qi;
795
   wire [length:1] q_next;
796
   assign q_next = qi + {{length-1{1'b0}},1'b1};
797
   always @ (posedge clk or posedge rst)
798
     if (rst)
799
       qi <= {length{1'b0}};
800
     else
801
     if (cke)
802
       qi <= q_next;
803
   assign q = qi;
804
endmodule
805
//////////////////////////////////////////////////////////////////////
806
////                                                              ////
807
////  Versatile counter                                           ////
808
////                                                              ////
809
////  Description                                                 ////
810
////  Versatile counter, a reconfigurable binary, gray or LFSR    ////
811
////  counter                                                     ////
812
////                                                              ////
813
////  To Do:                                                      ////
814
////   - add LFSR with more taps                                  ////
815
////                                                              ////
816
////  Author(s):                                                  ////
817
////      - Michael Unneback, unneback@opencores.org              ////
818
////        ORSoC AB                                              ////
819
////                                                              ////
820
//////////////////////////////////////////////////////////////////////
821
////                                                              ////
822
//// Copyright (C) 2009 Authors and OPENCORES.ORG                 ////
823
////                                                              ////
824
//// This source file may be used and distributed without         ////
825
//// restriction provided that this copyright statement is not    ////
826
//// removed from the file and that any derivative work contains  ////
827
//// the original copyright notice and the associated disclaimer. ////
828
////                                                              ////
829
//// This source file is free software; you can redistribute it   ////
830
//// and/or modify it under the terms of the GNU Lesser General   ////
831
//// Public License as published by the Free Software Foundation; ////
832
//// either version 2.1 of the License, or (at your option) any   ////
833
//// later version.                                               ////
834
////                                                              ////
835
//// This source is distributed in the hope that it will be       ////
836
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
837
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
838
//// PURPOSE.  See the GNU Lesser General Public License for more ////
839
//// details.                                                     ////
840
////                                                              ////
841
//// You should have received a copy of the GNU Lesser General    ////
842
//// Public License along with this source; if not, download it   ////
843
//// from http://www.opencores.org/lgpl.shtml                     ////
844
////                                                              ////
845
//////////////////////////////////////////////////////////////////////
846
// binary counter
847 40 unneback
module vl_cnt_bin_ce_rew_zq_l1 (
848
 cke, rew, zq, level1, rst, clk);
849 6 unneback
   parameter length = 4;
850
   input cke;
851
   input rew;
852 25 unneback
   output reg zq;
853
   output reg level1;
854
   input rst;
855
   input clk;
856
   parameter clear_value = 0;
857
   parameter set_value = 1;
858
   parameter wrap_value = 1;
859
   parameter level1_value = 15;
860 29 unneback
   wire clear;
861 30 unneback
   assign clear = 1'b0;
862 25 unneback
   reg  [length:1] qi;
863
   wire  [length:1] q_next, q_next_fw, q_next_rew;
864
   assign q_next_fw  = qi + {{length-1{1'b0}},1'b1};
865
   assign q_next_rew = qi - {{length-1{1'b0}},1'b1};
866
   assign q_next = rew ? q_next_rew : q_next_fw;
867
   always @ (posedge clk or posedge rst)
868
     if (rst)
869
       qi <= {length{1'b0}};
870
     else
871
     if (cke)
872
       qi <= q_next;
873
   always @ (posedge clk or posedge rst)
874
     if (rst)
875
       zq <= 1'b1;
876
     else
877
     if (cke)
878
       zq <= q_next == {length{1'b0}};
879
    always @ (posedge clk or posedge rst)
880
    if (rst)
881
        level1 <= 1'b0;
882
    else
883
    if (cke)
884 29 unneback
    if (clear)
885
        level1 <= 1'b0;
886
    else if (q_next == level1_value)
887 25 unneback
        level1 <= 1'b1;
888
    else if (qi == level1_value & rew)
889
        level1 <= 1'b0;
890
endmodule
891
//////////////////////////////////////////////////////////////////////
892
////                                                              ////
893
////  Versatile counter                                           ////
894
////                                                              ////
895
////  Description                                                 ////
896
////  Versatile counter, a reconfigurable binary, gray or LFSR    ////
897
////  counter                                                     ////
898
////                                                              ////
899
////  To Do:                                                      ////
900
////   - add LFSR with more taps                                  ////
901
////                                                              ////
902
////  Author(s):                                                  ////
903
////      - Michael Unneback, unneback@opencores.org              ////
904
////        ORSoC AB                                              ////
905
////                                                              ////
906
//////////////////////////////////////////////////////////////////////
907
////                                                              ////
908
//// Copyright (C) 2009 Authors and OPENCORES.ORG                 ////
909
////                                                              ////
910
//// This source file may be used and distributed without         ////
911
//// restriction provided that this copyright statement is not    ////
912
//// removed from the file and that any derivative work contains  ////
913
//// the original copyright notice and the associated disclaimer. ////
914
////                                                              ////
915
//// This source file is free software; you can redistribute it   ////
916
//// and/or modify it under the terms of the GNU Lesser General   ////
917
//// Public License as published by the Free Software Foundation; ////
918
//// either version 2.1 of the License, or (at your option) any   ////
919
//// later version.                                               ////
920
////                                                              ////
921
//// This source is distributed in the hope that it will be       ////
922
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
923
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
924
//// PURPOSE.  See the GNU Lesser General Public License for more ////
925
//// details.                                                     ////
926
////                                                              ////
927
//// You should have received a copy of the GNU Lesser General    ////
928
//// Public License along with this source; if not, download it   ////
929
//// from http://www.opencores.org/lgpl.shtml                     ////
930
////                                                              ////
931
//////////////////////////////////////////////////////////////////////
932
// binary counter
933 40 unneback
module vl_cnt_bin_ce_rew_q_zq_l1 (
934
 cke, rew, q, zq, level1, rst, clk);
935 25 unneback
   parameter length = 4;
936
   input cke;
937
   input rew;
938
   output [length:1] q;
939
   output reg zq;
940
   output reg level1;
941
   input rst;
942
   input clk;
943
   parameter clear_value = 0;
944
   parameter set_value = 1;
945
   parameter wrap_value = 1;
946
   parameter level1_value = 15;
947 29 unneback
   wire clear;
948 30 unneback
   assign clear = 1'b0;
949 25 unneback
   reg  [length:1] qi;
950
   wire  [length:1] q_next, q_next_fw, q_next_rew;
951
   assign q_next_fw  = qi + {{length-1{1'b0}},1'b1};
952
   assign q_next_rew = qi - {{length-1{1'b0}},1'b1};
953
   assign q_next = rew ? q_next_rew : q_next_fw;
954
   always @ (posedge clk or posedge rst)
955
     if (rst)
956
       qi <= {length{1'b0}};
957
     else
958
     if (cke)
959
       qi <= q_next;
960
   assign q = qi;
961
   always @ (posedge clk or posedge rst)
962
     if (rst)
963
       zq <= 1'b1;
964
     else
965
     if (cke)
966
       zq <= q_next == {length{1'b0}};
967
    always @ (posedge clk or posedge rst)
968
    if (rst)
969
        level1 <= 1'b0;
970
    else
971
    if (cke)
972 29 unneback
    if (clear)
973
        level1 <= 1'b0;
974
    else if (q_next == level1_value)
975 25 unneback
        level1 <= 1'b1;
976
    else if (qi == level1_value & rew)
977
        level1 <= 1'b0;
978
endmodule
979
//////////////////////////////////////////////////////////////////////
980
////                                                              ////
981
////  Versatile counter                                           ////
982
////                                                              ////
983
////  Description                                                 ////
984
////  Versatile counter, a reconfigurable binary, gray or LFSR    ////
985
////  counter                                                     ////
986
////                                                              ////
987
////  To Do:                                                      ////
988
////   - add LFSR with more taps                                  ////
989
////                                                              ////
990
////  Author(s):                                                  ////
991
////      - Michael Unneback, unneback@opencores.org              ////
992
////        ORSoC AB                                              ////
993
////                                                              ////
994
//////////////////////////////////////////////////////////////////////
995
////                                                              ////
996
//// Copyright (C) 2009 Authors and OPENCORES.ORG                 ////
997
////                                                              ////
998
//// This source file may be used and distributed without         ////
999
//// restriction provided that this copyright statement is not    ////
1000
//// removed from the file and that any derivative work contains  ////
1001
//// the original copyright notice and the associated disclaimer. ////
1002
////                                                              ////
1003
//// This source file is free software; you can redistribute it   ////
1004
//// and/or modify it under the terms of the GNU Lesser General   ////
1005
//// Public License as published by the Free Software Foundation; ////
1006
//// either version 2.1 of the License, or (at your option) any   ////
1007
//// later version.                                               ////
1008
////                                                              ////
1009
//// This source is distributed in the hope that it will be       ////
1010
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
1011
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
1012
//// PURPOSE.  See the GNU Lesser General Public License for more ////
1013
//// details.                                                     ////
1014
////                                                              ////
1015
//// You should have received a copy of the GNU Lesser General    ////
1016
//// Public License along with this source; if not, download it   ////
1017
//// from http://www.opencores.org/lgpl.shtml                     ////
1018
////                                                              ////
1019
//////////////////////////////////////////////////////////////////////
1020 75 unneback
// LFSR counter
1021
module vl_cnt_lfsr_ce (
1022
 cke, zq, rst, clk);
1023
   parameter length = 4;
1024
   input cke;
1025
   output reg zq;
1026
   input rst;
1027
   input clk;
1028
   parameter clear_value = 0;
1029
   parameter set_value = 1;
1030
   parameter wrap_value = 0;
1031
   parameter level1_value = 15;
1032
   reg  [length:1] qi;
1033
   reg lfsr_fb;
1034
   wire [length:1] q_next;
1035
   reg [32:1] polynom;
1036
   integer i;
1037
   always @ (qi)
1038
   begin
1039
        case (length)
1040
         2: polynom = 32'b11;                               // 0x3
1041
         3: polynom = 32'b110;                              // 0x6
1042
         4: polynom = 32'b1100;                             // 0xC
1043
         5: polynom = 32'b10100;                            // 0x14
1044
         6: polynom = 32'b110000;                           // 0x30
1045
         7: polynom = 32'b1100000;                          // 0x60
1046
         8: polynom = 32'b10111000;                         // 0xb8
1047
         9: polynom = 32'b100010000;                        // 0x110
1048
        10: polynom = 32'b1001000000;                       // 0x240
1049
        11: polynom = 32'b10100000000;                      // 0x500
1050
        12: polynom = 32'b100000101001;                     // 0x829
1051
        13: polynom = 32'b1000000001100;                    // 0x100C
1052
        14: polynom = 32'b10000000010101;                   // 0x2015
1053
        15: polynom = 32'b110000000000000;                  // 0x6000
1054
        16: polynom = 32'b1101000000001000;                 // 0xD008
1055
        17: polynom = 32'b10010000000000000;                // 0x12000
1056
        18: polynom = 32'b100000010000000000;               // 0x20400
1057
        19: polynom = 32'b1000000000000100011;              // 0x40023
1058
        20: polynom = 32'b10010000000000000000;             // 0x90000
1059
        21: polynom = 32'b101000000000000000000;            // 0x140000
1060
        22: polynom = 32'b1100000000000000000000;           // 0x300000
1061
        23: polynom = 32'b10000100000000000000000;          // 0x420000
1062
        24: polynom = 32'b111000010000000000000000;         // 0xE10000
1063
        25: polynom = 32'b1001000000000000000000000;        // 0x1200000
1064
        26: polynom = 32'b10000000000000000000100011;       // 0x2000023
1065
        27: polynom = 32'b100000000000000000000010011;      // 0x4000013
1066
        28: polynom = 32'b1100100000000000000000000000;     // 0xC800000
1067
        29: polynom = 32'b10100000000000000000000000000;    // 0x14000000
1068
        30: polynom = 32'b100000000000000000000000101001;   // 0x20000029
1069
        31: polynom = 32'b1001000000000000000000000000000;  // 0x48000000
1070
        32: polynom = 32'b10000000001000000000000000000011; // 0x80200003
1071
        default: polynom = 32'b0;
1072
        endcase
1073
        lfsr_fb = qi[length];
1074
        for (i=length-1; i>=1; i=i-1) begin
1075
            if (polynom[i])
1076
                lfsr_fb = lfsr_fb  ~^ qi[i];
1077
        end
1078
    end
1079
   assign q_next = (qi == wrap_value) ? {length{1'b0}} :{qi[length-1:1],lfsr_fb};
1080
   always @ (posedge clk or posedge rst)
1081
     if (rst)
1082
       qi <= {length{1'b0}};
1083
     else
1084
     if (cke)
1085
       qi <= q_next;
1086
   always @ (posedge clk or posedge rst)
1087
     if (rst)
1088
       zq <= 1'b1;
1089
     else
1090
     if (cke)
1091
       zq <= q_next == {length{1'b0}};
1092
endmodule
1093
//////////////////////////////////////////////////////////////////////
1094
////                                                              ////
1095
////  Versatile counter                                           ////
1096
////                                                              ////
1097
////  Description                                                 ////
1098
////  Versatile counter, a reconfigurable binary, gray or LFSR    ////
1099
////  counter                                                     ////
1100
////                                                              ////
1101
////  To Do:                                                      ////
1102
////   - add LFSR with more taps                                  ////
1103
////                                                              ////
1104
////  Author(s):                                                  ////
1105
////      - Michael Unneback, unneback@opencores.org              ////
1106
////        ORSoC AB                                              ////
1107
////                                                              ////
1108
//////////////////////////////////////////////////////////////////////
1109
////                                                              ////
1110
//// Copyright (C) 2009 Authors and OPENCORES.ORG                 ////
1111
////                                                              ////
1112
//// This source file may be used and distributed without         ////
1113
//// restriction provided that this copyright statement is not    ////
1114
//// removed from the file and that any derivative work contains  ////
1115
//// the original copyright notice and the associated disclaimer. ////
1116
////                                                              ////
1117
//// This source file is free software; you can redistribute it   ////
1118
//// and/or modify it under the terms of the GNU Lesser General   ////
1119
//// Public License as published by the Free Software Foundation; ////
1120
//// either version 2.1 of the License, or (at your option) any   ////
1121
//// later version.                                               ////
1122
////                                                              ////
1123
//// This source is distributed in the hope that it will be       ////
1124
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
1125
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
1126
//// PURPOSE.  See the GNU Lesser General Public License for more ////
1127
//// details.                                                     ////
1128
////                                                              ////
1129
//// You should have received a copy of the GNU Lesser General    ////
1130
//// Public License along with this source; if not, download it   ////
1131
//// from http://www.opencores.org/lgpl.shtml                     ////
1132
////                                                              ////
1133
//////////////////////////////////////////////////////////////////////
1134 6 unneback
// GRAY counter
1135 40 unneback
module vl_cnt_gray_ce_bin (
1136
 cke, q, q_bin, rst, clk);
1137 6 unneback
   parameter length = 4;
1138
   input cke;
1139
   output reg [length:1] q;
1140
   output [length:1] q_bin;
1141
   input rst;
1142
   input clk;
1143
   parameter clear_value = 0;
1144
   parameter set_value = 1;
1145
   parameter wrap_value = 8;
1146
   parameter level1_value = 15;
1147
   reg  [length:1] qi;
1148
   wire [length:1] q_next;
1149
   assign q_next = qi + {{length-1{1'b0}},1'b1};
1150
   always @ (posedge clk or posedge rst)
1151
     if (rst)
1152
       qi <= {length{1'b0}};
1153
     else
1154
     if (cke)
1155
       qi <= q_next;
1156
   always @ (posedge clk or posedge rst)
1157
     if (rst)
1158
       q <= {length{1'b0}};
1159
     else
1160
       if (cke)
1161
         q <= (q_next>>1) ^ q_next;
1162
   assign q_bin = qi;
1163
endmodule
1164
//////////////////////////////////////////////////////////////////////
1165
////                                                              ////
1166
////  Versatile library, counters                                 ////
1167
////                                                              ////
1168
////  Description                                                 ////
1169
////  counters                                                    ////
1170
////                                                              ////
1171
////                                                              ////
1172
////  To Do:                                                      ////
1173
////   - add more counters                                        ////
1174
////                                                              ////
1175
////  Author(s):                                                  ////
1176
////      - Michael Unneback, unneback@opencores.org              ////
1177
////        ORSoC AB                                              ////
1178
////                                                              ////
1179
//////////////////////////////////////////////////////////////////////
1180
////                                                              ////
1181
//// Copyright (C) 2010 Authors and OPENCORES.ORG                 ////
1182
////                                                              ////
1183
//// This source file may be used and distributed without         ////
1184
//// restriction provided that this copyright statement is not    ////
1185
//// removed from the file and that any derivative work contains  ////
1186
//// the original copyright notice and the associated disclaimer. ////
1187
////                                                              ////
1188
//// This source file is free software; you can redistribute it   ////
1189
//// and/or modify it under the terms of the GNU Lesser General   ////
1190
//// Public License as published by the Free Software Foundation; ////
1191
//// either version 2.1 of the License, or (at your option) any   ////
1192
//// later version.                                               ////
1193
////                                                              ////
1194
//// This source is distributed in the hope that it will be       ////
1195
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
1196
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
1197
//// PURPOSE.  See the GNU Lesser General Public License for more ////
1198
//// details.                                                     ////
1199
////                                                              ////
1200
//// You should have received a copy of the GNU Lesser General    ////
1201
//// Public License along with this source; if not, download it   ////
1202
//// from http://www.opencores.org/lgpl.shtml                     ////
1203
////                                                              ////
1204
//////////////////////////////////////////////////////////////////////
1205 18 unneback
module vl_cnt_shreg_wrap ( q, rst, clk);
1206 6 unneback
   parameter length = 4;
1207
   output reg [0:length-1] q;
1208
   input rst;
1209
   input clk;
1210
    always @ (posedge clk or posedge rst)
1211
    if (rst)
1212
        q <= {1'b1,{length-1{1'b0}}};
1213
    else
1214
        q <= {q[length-1],q[0:length-2]};
1215
endmodule
1216 18 unneback
module vl_cnt_shreg_ce_wrap ( cke, q, rst, clk);
1217 6 unneback
   parameter length = 4;
1218
   input cke;
1219
   output reg [0:length-1] q;
1220
   input rst;
1221
   input clk;
1222
    always @ (posedge clk or posedge rst)
1223
    if (rst)
1224
        q <= {1'b1,{length-1{1'b0}}};
1225
    else
1226
        if (cke)
1227
            q <= {q[length-1],q[0:length-2]};
1228
endmodule
1229 18 unneback
module vl_cnt_shreg_ce_clear ( cke, clear, q, rst, clk);
1230 6 unneback
   parameter length = 4;
1231
   input cke, clear;
1232
   output reg [0:length-1] q;
1233
   input rst;
1234
   input clk;
1235
    always @ (posedge clk or posedge rst)
1236
    if (rst)
1237
        q <= {1'b1,{length-1{1'b0}}};
1238
    else
1239
        if (cke)
1240
            if (clear)
1241
                q <= {1'b1,{length-1{1'b0}}};
1242
            else
1243
                q <= q >> 1;
1244
endmodule
1245 18 unneback
module vl_cnt_shreg_ce_clear_wrap ( cke, clear, q, rst, clk);
1246 6 unneback
   parameter length = 4;
1247
   input cke, clear;
1248
   output reg [0:length-1] q;
1249
   input rst;
1250
   input clk;
1251
    always @ (posedge clk or posedge rst)
1252
    if (rst)
1253
        q <= {1'b1,{length-1{1'b0}}};
1254
    else
1255
        if (cke)
1256
            if (clear)
1257
                q <= {1'b1,{length-1{1'b0}}};
1258
            else
1259
            q <= {q[length-1],q[0:length-2]};
1260
endmodule
1261
//////////////////////////////////////////////////////////////////////
1262
////                                                              ////
1263
////  Versatile library, memories                                 ////
1264
////                                                              ////
1265
////  Description                                                 ////
1266
////  memories                                                    ////
1267
////                                                              ////
1268
////                                                              ////
1269
////  To Do:                                                      ////
1270
////   - add more memory types                                    ////
1271
////                                                              ////
1272
////  Author(s):                                                  ////
1273
////      - Michael Unneback, unneback@opencores.org              ////
1274
////        ORSoC AB                                              ////
1275
////                                                              ////
1276
//////////////////////////////////////////////////////////////////////
1277
////                                                              ////
1278
//// Copyright (C) 2010 Authors and OPENCORES.ORG                 ////
1279
////                                                              ////
1280
//// This source file may be used and distributed without         ////
1281
//// restriction provided that this copyright statement is not    ////
1282
//// removed from the file and that any derivative work contains  ////
1283
//// the original copyright notice and the associated disclaimer. ////
1284
////                                                              ////
1285
//// This source file is free software; you can redistribute it   ////
1286
//// and/or modify it under the terms of the GNU Lesser General   ////
1287
//// Public License as published by the Free Software Foundation; ////
1288
//// either version 2.1 of the License, or (at your option) any   ////
1289
//// later version.                                               ////
1290
////                                                              ////
1291
//// This source is distributed in the hope that it will be       ////
1292
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
1293
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
1294
//// PURPOSE.  See the GNU Lesser General Public License for more ////
1295
//// details.                                                     ////
1296
////                                                              ////
1297
//// You should have received a copy of the GNU Lesser General    ////
1298
//// Public License along with this source; if not, download it   ////
1299
//// from http://www.opencores.org/lgpl.shtml                     ////
1300
////                                                              ////
1301
//////////////////////////////////////////////////////////////////////
1302
/// ROM
1303 7 unneback
module vl_rom_init ( adr, q, clk);
1304
   parameter data_width = 32;
1305
   parameter addr_width = 8;
1306 75 unneback
   parameter mem_size = 1<<addr_width;
1307 7 unneback
   input [(addr_width-1):0]       adr;
1308
   output reg [(data_width-1):0] q;
1309
   input                         clk;
1310 75 unneback
   reg [data_width-1:0] rom [mem_size-1:0];
1311 7 unneback
   parameter memory_file = "vl_rom.vmem";
1312
   initial
1313
     begin
1314
        $readmemh(memory_file, rom);
1315
     end
1316
   always @ (posedge clk)
1317
     q <= rom[adr];
1318
endmodule
1319 6 unneback
// Single port RAM
1320
module vl_ram ( d, adr, we, q, clk);
1321
   parameter data_width = 32;
1322
   parameter addr_width = 8;
1323 75 unneback
   parameter mem_size = 1<<addr_width;
1324 6 unneback
   input [(data_width-1):0]      d;
1325
   input [(addr_width-1):0]       adr;
1326
   input                         we;
1327 7 unneback
   output reg [(data_width-1):0] q;
1328 6 unneback
   input                         clk;
1329 75 unneback
   reg [data_width-1:0] ram [mem_szie-1:0];
1330 7 unneback
   parameter init = 0;
1331
   parameter memory_file = "vl_ram.vmem";
1332
   generate if (init) begin : init_mem
1333
   initial
1334
     begin
1335
        $readmemh(memory_file, ram);
1336
     end
1337
   end
1338
   endgenerate
1339 6 unneback
   always @ (posedge clk)
1340
   begin
1341
   if (we)
1342
     ram[adr] <= d;
1343
   q <= ram[adr];
1344
   end
1345
endmodule
1346 7 unneback
module vl_ram_be ( d, adr, be, we, q, clk);
1347
   parameter data_width = 32;
1348 72 unneback
   parameter addr_width = 6;
1349 75 unneback
   parameter mem_size = 1<<addr_width;
1350 7 unneback
   input [(data_width-1):0]      d;
1351
   input [(addr_width-1):0]       adr;
1352 73 unneback
   input [(data_width/8)-1:0]    be;
1353 7 unneback
   input                         we;
1354
   output reg [(data_width-1):0] q;
1355
   input                         clk;
1356 65 unneback
`ifdef SYSTEMVERILOG
1357 68 unneback
   logic [data_width/8-1:0][7:0] ram[0:mem_size-1];// # words = 1 << address width
1358 65 unneback
`else
1359 68 unneback
   reg [data_width-1:0] ram [mem_size-1:0];
1360 65 unneback
`endif
1361 60 unneback
   parameter memory_init = 0;
1362 7 unneback
   parameter memory_file = "vl_ram.vmem";
1363 60 unneback
   generate if (memory_init) begin : init_mem
1364 7 unneback
   initial
1365
     begin
1366
        $readmemh(memory_file, ram);
1367
     end
1368
   end
1369
   endgenerate
1370 60 unneback
`ifdef SYSTEMVERILOG
1371
// use a multi-dimensional packed array
1372
//to model individual bytes within the word
1373
always_ff@(posedge clk)
1374
begin
1375
    if(we) begin // note: we should have a for statement to support any bus width
1376 65 unneback
        if(be[3]) ram[adr[addr_width-2:0]][3] <= d[31:24];
1377
        if(be[2]) ram[adr[addr_width-2:0]][2] <= d[23:16];
1378
        if(be[1]) ram[adr[addr_width-2:0]][1] <= d[15:8];
1379
        if(be[0]) ram[adr[addr_width-2:0]][0] <= d[7:0];
1380 60 unneback
    end
1381 65 unneback
    q <= ram[adr];
1382 60 unneback
end
1383
`else
1384 7 unneback
   genvar i;
1385
   generate for (i=0;i<addr_width/4;i=i+1) begin : be_ram
1386
      always @ (posedge clk)
1387
      if (we & be[i])
1388
        ram[adr][(i+1)*8-1:i*8] <= d[(i+1)*8-1:i*8];
1389
   end
1390
   endgenerate
1391
   always @ (posedge clk)
1392
      q <= ram[adr];
1393 60 unneback
`endif
1394 7 unneback
endmodule
1395
module vl_dpram_1r1w ( d_a, adr_a, we_a, clk_a, q_b, adr_b, clk_b );
1396 6 unneback
   parameter data_width = 32;
1397
   parameter addr_width = 8;
1398 75 unneback
   parameter mem_size = 1<<addr_width;
1399 6 unneback
   input [(data_width-1):0]      d_a;
1400
   input [(addr_width-1):0]       adr_a;
1401
   input [(addr_width-1):0]       adr_b;
1402
   input                         we_a;
1403
   output [(data_width-1):0]      q_b;
1404
   input                         clk_a, clk_b;
1405
   reg [(addr_width-1):0]         adr_b_reg;
1406 75 unneback
   reg [data_width-1:0] ram [mem_szie-1:0] ;
1407 7 unneback
   parameter init = 0;
1408
   parameter memory_file = "vl_ram.vmem";
1409
   generate if (init) begin : init_mem
1410
   initial
1411
     begin
1412
        $readmemh(memory_file, ram);
1413
     end
1414
   end
1415
   endgenerate
1416 6 unneback
   always @ (posedge clk_a)
1417
   if (we_a)
1418
     ram[adr_a] <= d_a;
1419
   always @ (posedge clk_b)
1420
   adr_b_reg <= adr_b;
1421
   assign q_b = ram[adr_b_reg];
1422
endmodule
1423 7 unneback
module vl_dpram_2r1w ( d_a, q_a, adr_a, we_a, clk_a, q_b, adr_b, clk_b );
1424 6 unneback
   parameter data_width = 32;
1425
   parameter addr_width = 8;
1426 75 unneback
   parameter mem_size = 1<<addr_width;
1427 6 unneback
   input [(data_width-1):0]      d_a;
1428
   input [(addr_width-1):0]       adr_a;
1429
   input [(addr_width-1):0]       adr_b;
1430
   input                         we_a;
1431
   output [(data_width-1):0]      q_b;
1432
   output reg [(data_width-1):0] q_a;
1433
   input                         clk_a, clk_b;
1434
   reg [(data_width-1):0]         q_b;
1435 75 unneback
   reg [data_width-1:0] ram [mem_szie-1:0] ;
1436 7 unneback
   parameter init = 0;
1437
   parameter memory_file = "vl_ram.vmem";
1438
   generate if (init) begin : init_mem
1439
   initial
1440
     begin
1441
        $readmemh(memory_file, ram);
1442
     end
1443
   end
1444
   endgenerate
1445 6 unneback
   always @ (posedge clk_a)
1446
     begin
1447
        q_a <= ram[adr_a];
1448
        if (we_a)
1449
             ram[adr_a] <= d_a;
1450
     end
1451
   always @ (posedge clk_b)
1452
          q_b <= ram[adr_b];
1453
endmodule
1454 7 unneback
module vl_dpram_2r2w ( d_a, q_a, adr_a, we_a, clk_a, d_b, q_b, adr_b, we_b, clk_b );
1455 6 unneback
   parameter data_width = 32;
1456
   parameter addr_width = 8;
1457 75 unneback
   parameter mem_size = 1<<addr_width;
1458 6 unneback
   input [(data_width-1):0]      d_a;
1459
   input [(addr_width-1):0]       adr_a;
1460
   input [(addr_width-1):0]       adr_b;
1461
   input                         we_a;
1462
   output [(data_width-1):0]      q_b;
1463
   input [(data_width-1):0]       d_b;
1464
   output reg [(data_width-1):0] q_a;
1465
   input                         we_b;
1466
   input                         clk_a, clk_b;
1467
   reg [(data_width-1):0]         q_b;
1468 75 unneback
   reg [data_width-1:0] ram [mem_size-1:0] ;
1469 7 unneback
   parameter init = 0;
1470
   parameter memory_file = "vl_ram.vmem";
1471
   generate if (init) begin : init_mem
1472
   initial
1473
     begin
1474
        $readmemh(memory_file, ram);
1475
     end
1476
   end
1477
   endgenerate
1478 6 unneback
   always @ (posedge clk_a)
1479
     begin
1480
        q_a <= ram[adr_a];
1481
        if (we_a)
1482
             ram[adr_a] <= d_a;
1483
     end
1484
   always @ (posedge clk_b)
1485
     begin
1486
        q_b <= ram[adr_b];
1487
        if (we_b)
1488
          ram[adr_b] <= d_b;
1489
     end
1490
endmodule
1491 75 unneback
module vl_dpram_be_2r2w ( d_a, q_a, adr_a, be_a, we_a, clk_a, d_b, q_b, adr_b, be_b, we_b, clk_b );
1492
   parameter a_data_width = 32;
1493
   parameter a_addr_width = 8;
1494
   parameter b_data_width = 64;
1495
   parameter b_addr_width = 7;
1496
   //parameter mem_size = (a_addr_width>b_addr_width) ? (1<<a_addr_width) : (1<<b_addr_width);
1497
   parameter mem_size = 1024;
1498
   input [(a_data_width-1):0]      d_a;
1499
   input [(a_addr_width-1):0]     adr_a;
1500
   input [(b_addr_width-1):0]     adr_b;
1501
   input [(a_data_width/4-1):0]    be_a;
1502
   input                         we_a;
1503
   output [(b_data_width-1):0]    q_b;
1504
   input [(b_data_width-1):0]     d_b;
1505
   output reg [(a_data_width-1):0] q_a;
1506
   input [(b_data_width/4-1):0]    be_b;
1507
   input                         we_b;
1508
   input                         clk_a, clk_b;
1509
   reg [(b_data_width-1):0]       q_b;
1510
generate
1511
if (a_data_width==32 & b_data_width==64) begin : inst32to64
1512 77 unneback
    wire [63:0] tmp;
1513 75 unneback
    vl_dpram_2r2w
1514
    # (.data_width(8), .addr_width(b_addr_width-3))
1515
    ram0 (
1516
        .d_a(d_a[7:0]),
1517
        .q_a(tmp[7:0]),
1518
        .adr_a(adr_a[a_addr_width-3-1:0]),
1519
        .we_a(we_a & be_a[0] & !adr_a[0]),
1520
        .clk_a(clk_a),
1521
        .d_b(d_b[7:0]),
1522
        .q_b(q_b[7:0]),
1523
        .adr_b(adr_b[b_addr_width-3-1:0]),
1524
        .we_b(we_b),
1525
        .clk_b(clk_b) );
1526
    vl_dpram_2r2w
1527
    # (.data_width(8), .addr_width(b_addr_width-3))
1528
    ram1 (
1529
        .d_a(d_a[7:0]),
1530
        .q_a(tmp[7:0]),
1531
        .adr_a(adr_a[a_addr_width-3-1:0]),
1532
        .we_a(we_a),
1533
        .clk_a(clk_a),
1534
        .d_b(d_b[7:0]),
1535
        .q_b(q_b[7:0]),
1536
        .adr_b(adr_b[b_addr_width-3-1:0]),
1537
        .we_b(we_b),
1538
        .clk_b(clk_b) );
1539
    vl_dpram_2r2w
1540
    # (.data_width(8), .addr_width(b_addr_width-3))
1541
    ram2 (
1542
        .d_a(d_a[15:8]),
1543
        .q_a(tmp[7:0]),
1544
        .adr_a(adr_a[a_addr_width-3-1:0]),
1545
        .we_a(we_a),
1546
        .clk_a(clk_a),
1547
        .d_b(d_b[7:0]),
1548
        .q_b(q_b[7:0]),
1549
        .adr_b(adr_b[b_addr_width-3-1:0]),
1550
        .we_b(we_b),
1551
        .clk_b(clk_b) );
1552
    vl_dpram_2r2w
1553
    # (.data_width(8), .addr_width(b_addr_width-3))
1554
    ram3 (
1555
        .d_a(d_a[15:8]),
1556
        .q_a(tmp[7:0]),
1557
        .adr_a(adr_a[a_addr_width-3-1:0]),
1558
        .we_a(we_a),
1559
        .clk_a(clk_a),
1560
        .d_b(d_b[7:0]),
1561
        .q_b(q_b[7:0]),
1562
        .adr_b(adr_b[b_addr_width-3-1:0]),
1563
        .we_b(we_b),
1564
        .clk_b(clk_b) );
1565
    vl_dpram_2r2w
1566
    # (.data_width(8), .addr_width(b_addr_width-3))
1567
    ram4 (
1568
        .d_a(d_a[23:16]),
1569
        .q_a(tmp[7:0]),
1570
        .adr_a(adr_a[a_addr_width-3-1:0]),
1571
        .we_a(we_a),
1572
        .clk_a(clk_a),
1573
        .d_b(d_b[7:0]),
1574
        .q_b(q_b[7:0]),
1575
        .adr_b(adr_b[b_addr_width-3-1:0]),
1576
        .we_b(we_b),
1577
        .clk_b(clk_b) );
1578
    vl_dpram_2r2w
1579
    # (.data_width(8), .addr_width(b_addr_width-3))
1580
    ram5 (
1581
        .d_a(d_a[23:16]),
1582
        .q_a(tmp[7:0]),
1583
        .adr_a(adr_a[a_addr_width-3-1:0]),
1584
        .we_a(we_a),
1585
        .clk_a(clk_a),
1586
        .d_b(d_b[7:0]),
1587
        .q_b(q_b[7:0]),
1588
        .adr_b(adr_b[b_addr_width-3-1:0]),
1589
        .we_b(we_b),
1590
        .clk_b(clk_b) );
1591
    vl_dpram_2r2w
1592
    # (.data_width(8), .addr_width(b_addr_width-3))
1593
    ram6 (
1594
        .d_a(d_a[31:24]),
1595
        .q_a(tmp[7:0]),
1596
        .adr_a(adr_a[a_addr_width-3-1:0]),
1597
        .we_a(we_a),
1598
        .clk_a(clk_a),
1599
        .d_b(d_b[7:0]),
1600
        .q_b(q_b[7:0]),
1601
        .adr_b(adr_b[b_addr_width-3-1:0]),
1602
        .we_b(we_b),
1603
        .clk_b(clk_b) );
1604
    vl_dpram_2r2w
1605
    # (.data_width(8), .addr_width(b_addr_width-3))
1606
    ram7 (
1607
        .d_a(d_a[31:24]),
1608
        .q_a(tmp[7:0]),
1609
        .adr_a(adr_a[a_addr_width-3-1:0]),
1610
        .we_a(we_a),
1611
        .clk_a(clk_a),
1612
        .d_b(d_b[7:0]),
1613
        .q_b(q_b[7:0]),
1614
        .adr_b(adr_b[b_addr_width-3-1:0]),
1615
        .we_b(we_b),
1616
        .clk_b(clk_b) );
1617
/*
1618
   reg [7:0] ram0 [mem_size/8-1:0];
1619
   wire [7:0] wea, web;
1620
   assign wea = we_a & be_a[0];
1621
   assign web = we_b & be_b[0];
1622
   always @ (posedge clk_a)
1623
    if (wea)
1624
        ram0[adr_a] <= d_a[7:0];
1625
    always @ (posedge clk_a)
1626
        q_a[7:0] <= ram0[adr_a];
1627
   always @ (posedge clk_a)
1628
    if (web)
1629
        ram0[adr_b] <= d_b[7:0];
1630
    always @ (posedge clk_b)
1631
        q_b[7:0] <= ram0[adr_b];
1632
*/
1633
end
1634
endgenerate
1635
/*
1636
   generate for (i=0;i<addr_width/4;i=i+1) begin : be_rama
1637
      always @ (posedge clk_a)
1638
      if (we_a & be_a[i])
1639
        ram[adr_a][(i+1)*8-1:i*8] <= d_a[(i+1)*8-1:i*8];
1640
   end
1641
   endgenerate
1642
   always @ (posedge clk_a)
1643
      q_a <= ram[adr_a];
1644
   genvar i;
1645
   generate for (i=0;i<addr_width/4;i=i+1) begin : be_ramb
1646
      always @ (posedge clk_a)
1647
      if (we_b & be_b[i])
1648
        ram[adr_b][(i+1)*8-1:i*8] <= d_b[(i+1)*8-1:i*8];
1649
   end
1650
   endgenerate
1651
   always @ (posedge clk_b)
1652
      q_b <= ram[adr_b];
1653
*/
1654
/*
1655
   always @ (posedge clk_a)
1656
     begin
1657
        q_a <= ram[adr_a];
1658
        if (we_a)
1659
             ram[adr_a] <= d_a;
1660
     end
1661
   always @ (posedge clk_b)
1662
     begin
1663
        q_b <= ram[adr_b];
1664
        if (we_b)
1665
          ram[adr_b] <= d_b;
1666
     end
1667
*/
1668
endmodule
1669 6 unneback
// Content addresable memory, CAM
1670
// FIFO
1671 25 unneback
module vl_fifo_1r1w_fill_level_sync (
1672
    d, wr, fifo_full,
1673
    q, rd, fifo_empty,
1674
    fill_level,
1675
    clk, rst
1676
    );
1677
parameter data_width = 18;
1678
parameter addr_width = 4;
1679
// write side
1680
input  [data_width-1:0] d;
1681
input                   wr;
1682
output                  fifo_full;
1683
// read side
1684
output [data_width-1:0] q;
1685
input                   rd;
1686
output                  fifo_empty;
1687
// common
1688
output [addr_width:0]   fill_level;
1689
input rst, clk;
1690
wire [addr_width:1] wadr, radr;
1691
vl_cnt_bin_ce
1692
    # ( .length(addr_width))
1693
    fifo_wr_adr( .cke(wr), .q(wadr), .rst(rst), .clk(clk));
1694
vl_cnt_bin_ce
1695
    # (.length(addr_width))
1696
    fifo_rd_adr( .cke(rd), .q(radr), .rst(rst), .clk(clk));
1697
vl_dpram_1r1w
1698
    # (.data_width(data_width), .addr_width(addr_width))
1699
    dpram ( .d_a(d), .adr_a(wadr), .we_a(wr), .clk_a(clk), .q_b(q), .adr_b(radr), .clk_b(clk));
1700 31 unneback
vl_cnt_bin_ce_rew_q_zq_l1
1701 27 unneback
    # (.length(addr_width+1), .level1_value(1<<addr_width))
1702 25 unneback
    fill_level_cnt( .cke(rd ^ wr), .rew(rd), .q(fill_level), .zq(fifo_empty), .level1(fifo_full), .rst(rst), .clk(clk));
1703
endmodule
1704 27 unneback
// Intended use is two small FIFOs (RX and TX typically) in one FPGA RAM resource
1705
// RAM is supposed to be larger than the two FIFOs
1706
// LFSR counters used adr pointers
1707
module vl_fifo_2r2w_sync_simplex (
1708
    // a side
1709
    a_d, a_wr, a_fifo_full,
1710
    a_q, a_rd, a_fifo_empty,
1711
    a_fill_level,
1712
    // b side
1713
    b_d, b_wr, b_fifo_full,
1714
    b_q, b_rd, b_fifo_empty,
1715
    b_fill_level,
1716
    // common
1717
    clk, rst
1718
    );
1719
parameter data_width = 8;
1720
parameter addr_width = 5;
1721
parameter fifo_full_level = (1<<addr_width)-1;
1722
// a side
1723
input  [data_width-1:0] a_d;
1724
input                   a_wr;
1725
output                  a_fifo_full;
1726
output [data_width-1:0] a_q;
1727
input                   a_rd;
1728
output                  a_fifo_empty;
1729
output [addr_width-1:0] a_fill_level;
1730
// b side
1731
input  [data_width-1:0] b_d;
1732
input                   b_wr;
1733
output                  b_fifo_full;
1734
output [data_width-1:0] b_q;
1735
input                   b_rd;
1736
output                  b_fifo_empty;
1737
output [addr_width-1:0] b_fill_level;
1738
input                   clk;
1739
input                   rst;
1740
// adr_gen
1741
wire [addr_width:1] a_wadr, a_radr;
1742
wire [addr_width:1] b_wadr, b_radr;
1743
// dpram
1744
wire [addr_width:0] a_dpram_adr, b_dpram_adr;
1745
vl_cnt_lfsr_ce
1746
    # ( .length(addr_width))
1747
    fifo_a_wr_adr( .cke(a_wr), .q(a_wadr), .rst(rst), .clk(clk));
1748
vl_cnt_lfsr_ce
1749
    # (.length(addr_width))
1750
    fifo_a_rd_adr( .cke(a_rd), .q(a_radr), .rst(rst), .clk(clk));
1751
vl_cnt_lfsr_ce
1752
    # ( .length(addr_width))
1753
    fifo_b_wr_adr( .cke(b_wr), .q(b_wadr), .rst(rst), .clk(clk));
1754
vl_cnt_lfsr_ce
1755
    # (.length(addr_width))
1756
    fifo_b_rd_adr( .cke(b_rd), .q(b_radr), .rst(rst), .clk(clk));
1757
// mux read or write adr to DPRAM
1758
assign a_dpram_adr = (a_wr) ? {1'b0,a_wadr} : {1'b1,a_radr};
1759
assign b_dpram_adr = (b_wr) ? {1'b1,b_wadr} : {1'b0,b_radr};
1760
vl_dpram_2r2w
1761
    # (.data_width(data_width), .addr_width(addr_width+1))
1762
    dpram ( .d_a(a_d), .q_a(a_q), .adr_a(a_dpram_adr), .we_a(a_wr), .clk_a(a_clk),
1763
            .d_b(b_d), .q_b(b_q), .adr_b(b_dpram_adr), .we_b(b_wr), .clk_b(b_clk));
1764
vl_cnt_bin_ce_rew_zq_l1
1765 28 unneback
    # (.length(addr_width), .level1_value(fifo_full_level))
1766 27 unneback
    a_fill_level_cnt( .cke(a_rd ^ a_wr), .rew(a_rd), .q(a_fill_level), .zq(a_fifo_empty), .level1(a_fifo_full), .rst(rst), .clk(clk));
1767
vl_cnt_bin_ce_rew_zq_l1
1768 28 unneback
    # (.length(addr_width), .level1_value(fifo_full_level))
1769 27 unneback
    b_fill_level_cnt( .cke(b_rd ^ b_wr), .rew(b_rd), .q(b_fill_level), .zq(b_fifo_empty), .level1(b_fifo_full), .rst(rst), .clk(clk));
1770
endmodule
1771 6 unneback
module vl_fifo_cmp_async ( wptr, rptr, fifo_empty, fifo_full, wclk, rclk, rst );
1772 11 unneback
   parameter addr_width = 4;
1773
   parameter N = addr_width-1;
1774 6 unneback
   parameter Q1 = 2'b00;
1775
   parameter Q2 = 2'b01;
1776
   parameter Q3 = 2'b11;
1777
   parameter Q4 = 2'b10;
1778
   parameter going_empty = 1'b0;
1779
   parameter going_full  = 1'b1;
1780
   input [N:0]  wptr, rptr;
1781 14 unneback
   output       fifo_empty;
1782 6 unneback
   output       fifo_full;
1783
   input        wclk, rclk, rst;
1784
   wire direction;
1785
   reg  direction_set, direction_clr;
1786
   wire async_empty, async_full;
1787
   wire fifo_full2;
1788 14 unneback
   wire fifo_empty2;
1789 6 unneback
   // direction_set
1790
   always @ (wptr[N:N-1] or rptr[N:N-1])
1791
     case ({wptr[N:N-1],rptr[N:N-1]})
1792
       {Q1,Q2} : direction_set <= 1'b1;
1793
       {Q2,Q3} : direction_set <= 1'b1;
1794
       {Q3,Q4} : direction_set <= 1'b1;
1795
       {Q4,Q1} : direction_set <= 1'b1;
1796
       default : direction_set <= 1'b0;
1797
     endcase
1798
   // direction_clear
1799
   always @ (wptr[N:N-1] or rptr[N:N-1] or rst)
1800
     if (rst)
1801
       direction_clr <= 1'b1;
1802
     else
1803
       case ({wptr[N:N-1],rptr[N:N-1]})
1804
         {Q2,Q1} : direction_clr <= 1'b1;
1805
         {Q3,Q2} : direction_clr <= 1'b1;
1806
         {Q4,Q3} : direction_clr <= 1'b1;
1807
         {Q1,Q4} : direction_clr <= 1'b1;
1808
         default : direction_clr <= 1'b0;
1809
       endcase
1810 18 unneback
    vl_dff_sr dff_sr_dir( .aclr(direction_clr), .aset(direction_set), .clock(1'b1), .data(1'b1), .q(direction));
1811 6 unneback
   assign async_empty = (wptr == rptr) && (direction==going_empty);
1812
   assign async_full  = (wptr == rptr) && (direction==going_full);
1813 18 unneback
    vl_dff_sr dff_sr_empty0( .aclr(rst), .aset(async_full), .clock(wclk), .data(async_full), .q(fifo_full2));
1814
    vl_dff_sr dff_sr_empty1( .aclr(rst), .aset(async_full), .clock(wclk), .data(fifo_full2), .q(fifo_full));
1815 6 unneback
/*
1816
   always @ (posedge wclk or posedge rst or posedge async_full)
1817
     if (rst)
1818
       {fifo_full, fifo_full2} <= 2'b00;
1819
     else if (async_full)
1820
       {fifo_full, fifo_full2} <= 2'b11;
1821
     else
1822
       {fifo_full, fifo_full2} <= {fifo_full2, async_full};
1823
*/
1824 14 unneback
/*   always @ (posedge rclk or posedge async_empty)
1825 6 unneback
     if (async_empty)
1826
       {fifo_empty, fifo_empty2} <= 2'b11;
1827
     else
1828 14 unneback
       {fifo_empty,fifo_empty2} <= {fifo_empty2,async_empty}; */
1829 18 unneback
    vl_dff # ( .reset_value(1'b1)) dff0 ( .d(async_empty), .q(fifo_empty2), .clk(rclk), .rst(async_empty));
1830
    vl_dff # ( .reset_value(1'b1)) dff1 ( .d(fifo_empty2), .q(fifo_empty),  .clk(rclk), .rst(async_empty));
1831 27 unneback
endmodule // async_compb
1832 6 unneback
module vl_fifo_1r1w_async (
1833
    d, wr, fifo_full, wr_clk, wr_rst,
1834
    q, rd, fifo_empty, rd_clk, rd_rst
1835
    );
1836
parameter data_width = 18;
1837
parameter addr_width = 4;
1838
// write side
1839
input  [data_width-1:0] d;
1840
input                   wr;
1841
output                  fifo_full;
1842
input                   wr_clk;
1843
input                   wr_rst;
1844
// read side
1845
output [data_width-1:0] q;
1846
input                   rd;
1847
output                  fifo_empty;
1848
input                   rd_clk;
1849
input                   rd_rst;
1850
wire [addr_width:1] wadr, wadr_bin, radr, radr_bin;
1851 18 unneback
vl_cnt_gray_ce_bin
1852 6 unneback
    # ( .length(addr_width))
1853
    fifo_wr_adr( .cke(wr), .q(wadr), .q_bin(wadr_bin), .rst(wr_rst), .clk(wr_clk));
1854 18 unneback
vl_cnt_gray_ce_bin
1855 6 unneback
    # (.length(addr_width))
1856 23 unneback
    fifo_rd_adr( .cke(rd), .q(radr), .q_bin(radr_bin), .rst(rd_rst), .clk(rd_clk));
1857 7 unneback
vl_dpram_1r1w
1858 6 unneback
    # (.data_width(data_width), .addr_width(addr_width))
1859
    dpram ( .d_a(d), .adr_a(wadr_bin), .we_a(wr), .clk_a(wr_clk), .q_b(q), .adr_b(radr_bin), .clk_b(rd_clk));
1860
vl_fifo_cmp_async
1861
    # (.addr_width(addr_width))
1862
    cmp ( .wptr(wadr), .rptr(radr), .fifo_empty(fifo_empty), .fifo_full(fifo_full), .wclk(wr_clk), .rclk(rd_clk), .rst(wr_rst) );
1863
endmodule
1864 8 unneback
module vl_fifo_2r2w_async (
1865 6 unneback
    // a side
1866
    a_d, a_wr, a_fifo_full,
1867
    a_q, a_rd, a_fifo_empty,
1868
    a_clk, a_rst,
1869
    // b side
1870
    b_d, b_wr, b_fifo_full,
1871
    b_q, b_rd, b_fifo_empty,
1872
    b_clk, b_rst
1873
    );
1874
parameter data_width = 18;
1875
parameter addr_width = 4;
1876
// a side
1877
input  [data_width-1:0] a_d;
1878
input                   a_wr;
1879
output                  a_fifo_full;
1880
output [data_width-1:0] a_q;
1881
input                   a_rd;
1882
output                  a_fifo_empty;
1883
input                   a_clk;
1884
input                   a_rst;
1885
// b side
1886
input  [data_width-1:0] b_d;
1887
input                   b_wr;
1888
output                  b_fifo_full;
1889
output [data_width-1:0] b_q;
1890
input                   b_rd;
1891
output                  b_fifo_empty;
1892
input                   b_clk;
1893
input                   b_rst;
1894
vl_fifo_1r1w_async # (.data_width(data_width), .addr_width(addr_width))
1895
vl_fifo_1r1w_async_a (
1896
    .d(a_d), .wr(a_wr), .fifo_full(a_fifo_full), .wr_clk(a_clk), .wr_rst(a_rst),
1897
    .q(b_q), .rd(b_rd), .fifo_empty(b_fifo_empty), .rd_clk(b_clk), .rd_rst(b_rst)
1898
    );
1899
vl_fifo_1r1w_async # (.data_width(data_width), .addr_width(addr_width))
1900
vl_fifo_1r1w_async_b (
1901
    .d(b_d), .wr(b_wr), .fifo_full(b_fifo_full), .wr_clk(b_clk), .wr_rst(b_rst),
1902
    .q(a_q), .rd(a_rd), .fifo_empty(a_fifo_empty), .rd_clk(a_clk), .rd_rst(a_rst)
1903
    );
1904
endmodule
1905 8 unneback
module vl_fifo_2r2w_async_simplex (
1906 6 unneback
    // a side
1907
    a_d, a_wr, a_fifo_full,
1908
    a_q, a_rd, a_fifo_empty,
1909
    a_clk, a_rst,
1910
    // b side
1911
    b_d, b_wr, b_fifo_full,
1912
    b_q, b_rd, b_fifo_empty,
1913
    b_clk, b_rst
1914
    );
1915
parameter data_width = 18;
1916
parameter addr_width = 4;
1917
// a side
1918
input  [data_width-1:0] a_d;
1919
input                   a_wr;
1920
output                  a_fifo_full;
1921
output [data_width-1:0] a_q;
1922
input                   a_rd;
1923
output                  a_fifo_empty;
1924
input                   a_clk;
1925
input                   a_rst;
1926
// b side
1927
input  [data_width-1:0] b_d;
1928
input                   b_wr;
1929
output                  b_fifo_full;
1930
output [data_width-1:0] b_q;
1931
input                   b_rd;
1932
output                  b_fifo_empty;
1933
input                   b_clk;
1934
input                   b_rst;
1935
// adr_gen
1936
wire [addr_width:1] a_wadr, a_wadr_bin, a_radr, a_radr_bin;
1937
wire [addr_width:1] b_wadr, b_wadr_bin, b_radr, b_radr_bin;
1938
// dpram
1939
wire [addr_width:0] a_dpram_adr, b_dpram_adr;
1940 18 unneback
vl_cnt_gray_ce_bin
1941 6 unneback
    # ( .length(addr_width))
1942
    fifo_a_wr_adr( .cke(a_wr), .q(a_wadr), .q_bin(a_wadr_bin), .rst(a_rst), .clk(a_clk));
1943 18 unneback
vl_cnt_gray_ce_bin
1944 6 unneback
    # (.length(addr_width))
1945
    fifo_a_rd_adr( .cke(a_rd), .q(a_radr), .q_bin(a_radr_bin), .rst(a_rst), .clk(a_clk));
1946 18 unneback
vl_cnt_gray_ce_bin
1947 6 unneback
    # ( .length(addr_width))
1948
    fifo_b_wr_adr( .cke(b_wr), .q(b_wadr), .q_bin(b_wadr_bin), .rst(b_rst), .clk(b_clk));
1949 18 unneback
vl_cnt_gray_ce_bin
1950 6 unneback
    # (.length(addr_width))
1951
    fifo_b_rd_adr( .cke(b_rd), .q(b_radr), .q_bin(b_radr_bin), .rst(b_rst), .clk(b_clk));
1952
// mux read or write adr to DPRAM
1953
assign a_dpram_adr = (a_wr) ? {1'b0,a_wadr_bin} : {1'b1,a_radr_bin};
1954
assign b_dpram_adr = (b_wr) ? {1'b1,b_wadr_bin} : {1'b0,b_radr_bin};
1955 11 unneback
vl_dpram_2r2w
1956 6 unneback
    # (.data_width(data_width), .addr_width(addr_width+1))
1957
    dpram ( .d_a(a_d), .q_a(a_q), .adr_a(a_dpram_adr), .we_a(a_wr), .clk_a(a_clk),
1958
            .d_b(b_d), .q_b(b_q), .adr_b(b_dpram_adr), .we_b(b_wr), .clk_b(b_clk));
1959 11 unneback
vl_fifo_cmp_async
1960 6 unneback
    # (.addr_width(addr_width))
1961
    cmp1 ( .wptr(a_wadr), .rptr(b_radr), .fifo_empty(b_fifo_empty), .fifo_full(a_fifo_full), .wclk(a_clk), .rclk(b_clk), .rst(a_rst) );
1962 11 unneback
vl_fifo_cmp_async
1963 6 unneback
    # (.addr_width(addr_width))
1964
    cmp2 ( .wptr(b_wadr), .rptr(a_radr), .fifo_empty(a_fifo_empty), .fifo_full(b_fifo_full), .wclk(b_clk), .rclk(a_clk), .rst(b_rst) );
1965
endmodule
1966 48 unneback
module vl_reg_file (
1967
    a1, a2, a3, wd3, we3, rd1, rd2, clk
1968
);
1969
parameter data_width = 32;
1970
parameter addr_width = 5;
1971
input [addr_width-1:0] a1, a2, a3;
1972
input [data_width-1:0] wd3;
1973
input we3;
1974
output [data_width-1:0] rd1, rd2;
1975
input clk;
1976
vl_dpram_1r1w
1977
    # ( .data_width(data_width), .addr_width(addr_width))
1978
    ram1 (
1979
        .d_a(wd3),
1980
        .adr_a(a3),
1981
        .we_a(we3),
1982
        .clk_a(clk),
1983
        .q_b(rd1),
1984
        .adr_b(a1),
1985
        .clk_b(clk) );
1986
vl_dpram_1r1w
1987
    # ( .data_width(data_width), .addr_width(addr_width))
1988
    ram2 (
1989
        .d_a(wd3),
1990
        .adr_a(a3),
1991
        .we_a(we3),
1992
        .clk_a(clk),
1993
        .q_b(rd2),
1994
        .adr_b(a2),
1995
        .clk_b(clk) );
1996
endmodule
1997 12 unneback
//////////////////////////////////////////////////////////////////////
1998
////                                                              ////
1999
////  Versatile library, wishbone stuff                           ////
2000
////                                                              ////
2001
////  Description                                                 ////
2002
////  Wishbone compliant modules                                  ////
2003
////                                                              ////
2004
////                                                              ////
2005
////  To Do:                                                      ////
2006
////   -                                                          ////
2007
////                                                              ////
2008
////  Author(s):                                                  ////
2009
////      - Michael Unneback, unneback@opencores.org              ////
2010
////        ORSoC AB                                              ////
2011
////                                                              ////
2012
//////////////////////////////////////////////////////////////////////
2013
////                                                              ////
2014
//// Copyright (C) 2010 Authors and OPENCORES.ORG                 ////
2015
////                                                              ////
2016
//// This source file may be used and distributed without         ////
2017
//// restriction provided that this copyright statement is not    ////
2018
//// removed from the file and that any derivative work contains  ////
2019
//// the original copyright notice and the associated disclaimer. ////
2020
////                                                              ////
2021
//// This source file is free software; you can redistribute it   ////
2022
//// and/or modify it under the terms of the GNU Lesser General   ////
2023
//// Public License as published by the Free Software Foundation; ////
2024
//// either version 2.1 of the License, or (at your option) any   ////
2025
//// later version.                                               ////
2026
////                                                              ////
2027
//// This source is distributed in the hope that it will be       ////
2028
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
2029
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
2030
//// PURPOSE.  See the GNU Lesser General Public License for more ////
2031
//// details.                                                     ////
2032
////                                                              ////
2033
//// You should have received a copy of the GNU Lesser General    ////
2034
//// Public License along with this source; if not, download it   ////
2035
//// from http://www.opencores.org/lgpl.shtml                     ////
2036
////                                                              ////
2037
//////////////////////////////////////////////////////////////////////
2038
// async wb3 - wb3 bridge
2039
`timescale 1ns/1ns
2040 18 unneback
module vl_wb3wb3_bridge (
2041 12 unneback
        // wishbone slave side
2042
        wbs_dat_i, wbs_adr_i, wbs_sel_i, wbs_bte_i, wbs_cti_i, wbs_we_i, wbs_cyc_i, wbs_stb_i, wbs_dat_o, wbs_ack_o, wbs_clk, wbs_rst,
2043
        // wishbone master side
2044
        wbm_dat_o, wbm_adr_o, wbm_sel_o, wbm_bte_o, wbm_cti_o, wbm_we_o, wbm_cyc_o, wbm_stb_o, wbm_dat_i, wbm_ack_i, wbm_clk, wbm_rst);
2045
input [31:0] wbs_dat_i;
2046
input [31:2] wbs_adr_i;
2047
input [3:0]  wbs_sel_i;
2048
input [1:0]  wbs_bte_i;
2049
input [2:0]  wbs_cti_i;
2050
input wbs_we_i, wbs_cyc_i, wbs_stb_i;
2051
output [31:0] wbs_dat_o;
2052 14 unneback
output wbs_ack_o;
2053 12 unneback
input wbs_clk, wbs_rst;
2054
output [31:0] wbm_dat_o;
2055
output reg [31:2] wbm_adr_o;
2056
output [3:0]  wbm_sel_o;
2057
output reg [1:0]  wbm_bte_o;
2058
output reg [2:0]  wbm_cti_o;
2059 14 unneback
output reg wbm_we_o;
2060
output wbm_cyc_o;
2061 12 unneback
output wbm_stb_o;
2062
input [31:0]  wbm_dat_i;
2063
input wbm_ack_i;
2064
input wbm_clk, wbm_rst;
2065
parameter addr_width = 4;
2066
// bte
2067
parameter linear       = 2'b00;
2068
parameter wrap4        = 2'b01;
2069
parameter wrap8        = 2'b10;
2070
parameter wrap16       = 2'b11;
2071
// cti
2072
parameter classic      = 3'b000;
2073
parameter incburst     = 3'b010;
2074
parameter endofburst   = 3'b111;
2075
parameter wbs_adr  = 1'b0;
2076
parameter wbs_data = 1'b1;
2077 33 unneback
parameter wbm_adr0      = 2'b00;
2078
parameter wbm_adr1      = 2'b01;
2079
parameter wbm_data      = 2'b10;
2080
parameter wbm_data_wait = 2'b11;
2081 12 unneback
reg [1:0] wbs_bte_reg;
2082
reg wbs;
2083
wire wbs_eoc_alert, wbm_eoc_alert;
2084
reg wbs_eoc, wbm_eoc;
2085
reg [1:0] wbm;
2086 14 unneback
wire [1:16] wbs_count, wbm_count;
2087 12 unneback
wire [35:0] a_d, a_q, b_d, b_q;
2088
wire a_wr, a_rd, a_fifo_full, a_fifo_empty, b_wr, b_rd, b_fifo_full, b_fifo_empty;
2089
reg a_rd_reg;
2090
wire b_rd_adr, b_rd_data;
2091 14 unneback
wire b_rd_data_reg;
2092
wire [35:0] temp;
2093 12 unneback
assign wbs_eoc_alert = (wbs_bte_reg==wrap4 & wbs_count[3]) | (wbs_bte_reg==wrap8 & wbs_count[7]) | (wbs_bte_reg==wrap16 & wbs_count[15]);
2094
always @ (posedge wbs_clk or posedge wbs_rst)
2095
if (wbs_rst)
2096
        wbs_eoc <= 1'b0;
2097
else
2098
        if (wbs==wbs_adr & wbs_stb_i & !a_fifo_full)
2099 77 unneback
                wbs_eoc <= (wbs_bte_i==linear) | (wbs_cti_==3'b111);
2100 12 unneback
        else if (wbs_eoc_alert & (a_rd | a_wr))
2101
                wbs_eoc <= 1'b1;
2102 18 unneback
vl_cnt_shreg_ce_clear # ( .length(16))
2103 12 unneback
    cnt0 (
2104
        .cke(wbs_ack_o),
2105
        .clear(wbs_eoc),
2106
        .q(wbs_count),
2107
        .rst(wbs_rst),
2108
        .clk(wbs_clk));
2109
always @ (posedge wbs_clk or posedge wbs_rst)
2110
if (wbs_rst)
2111
        wbs <= wbs_adr;
2112
else
2113 75 unneback
        if ((wbs==wbs_adr) & wbs_cyc_i & wbs_stb_i & a_fifo_empty)
2114 12 unneback
                wbs <= wbs_data;
2115
        else if (wbs_eoc & wbs_ack_o)
2116
                wbs <= wbs_adr;
2117
// wbs FIFO
2118 75 unneback
assign a_d = (wbs==wbs_adr) ? {wbs_adr_i[31:2],wbs_we_i,((wbs_cti_i==3'b111) ? {2'b00,3'b000} : {wbs_bte_i,wbs_cti_i})} : {wbs_dat_i,wbs_sel_i};
2119
assign a_wr = (wbs==wbs_adr)  ? wbs_cyc_i & wbs_stb_i & a_fifo_empty :
2120 12 unneback
              (wbs==wbs_data) ? wbs_we_i  & wbs_stb_i & !a_fifo_full :
2121
              1'b0;
2122
assign a_rd = !a_fifo_empty;
2123
always @ (posedge wbs_clk or posedge wbs_rst)
2124
if (wbs_rst)
2125
        a_rd_reg <= 1'b0;
2126
else
2127
        a_rd_reg <= a_rd;
2128
assign wbs_ack_o = a_rd_reg | (a_wr & wbs==wbs_data);
2129
assign wbs_dat_o = a_q[35:4];
2130
always @ (posedge wbs_clk or posedge wbs_rst)
2131
if (wbs_rst)
2132 13 unneback
        wbs_bte_reg <= 2'b00;
2133 12 unneback
else
2134 13 unneback
        wbs_bte_reg <= wbs_bte_i;
2135 12 unneback
// wbm FIFO
2136
assign wbm_eoc_alert = (wbm_bte_o==wrap4 & wbm_count[3]) | (wbm_bte_o==wrap8 & wbm_count[7]) | (wbm_bte_o==wrap16 & wbm_count[15]);
2137
always @ (posedge wbm_clk or posedge wbm_rst)
2138
if (wbm_rst)
2139
        wbm_eoc <= 1'b0;
2140
else
2141
        if (wbm==wbm_adr0 & !b_fifo_empty)
2142
                wbm_eoc <= b_q[4:3] == linear;
2143
        else if (wbm_eoc_alert & wbm_ack_i)
2144
                wbm_eoc <= 1'b1;
2145
always @ (posedge wbm_clk or posedge wbm_rst)
2146
if (wbm_rst)
2147
        wbm <= wbm_adr0;
2148
else
2149 33 unneback
/*
2150 12 unneback
    if ((wbm==wbm_adr0 & !b_fifo_empty) |
2151
        (wbm==wbm_adr1 & !b_fifo_empty & wbm_we_o) |
2152
        (wbm==wbm_adr1 & !wbm_we_o) |
2153
        (wbm==wbm_data & wbm_ack_i & wbm_eoc))
2154
        wbm <= {wbm[0],!(wbm[1] ^ wbm[0])};  // count sequence 00,01,10
2155 33 unneback
*/
2156
    case (wbm)
2157
    wbm_adr0:
2158
        if (!b_fifo_empty)
2159
            wbm <= wbm_adr1;
2160
    wbm_adr1:
2161
        if (!wbm_we_o | (!b_fifo_empty & wbm_we_o))
2162
            wbm <= wbm_data;
2163
    wbm_data:
2164
        if (wbm_ack_i & wbm_eoc)
2165
            wbm <= wbm_adr0;
2166
        else if (b_fifo_empty & wbm_we_o & wbm_ack_i)
2167
            wbm <= wbm_data_wait;
2168
    wbm_data_wait:
2169
        if (!b_fifo_empty)
2170
            wbm <= wbm_data;
2171
    endcase
2172 12 unneback
assign b_d = {wbm_dat_i,4'b1111};
2173
assign b_wr = !wbm_we_o & wbm_ack_i;
2174
assign b_rd_adr  = (wbm==wbm_adr0 & !b_fifo_empty);
2175
assign b_rd_data = (wbm==wbm_adr1 & !b_fifo_empty & wbm_we_o) ? 1'b1 : // b_q[`WE]
2176
                   (wbm==wbm_data & !b_fifo_empty & wbm_we_o & wbm_ack_i & !wbm_eoc) ? 1'b1 :
2177 33 unneback
                   (wbm==wbm_data_wait & !b_fifo_empty) ? 1'b1 :
2178 12 unneback
                   1'b0;
2179
assign b_rd = b_rd_adr | b_rd_data;
2180 18 unneback
vl_dff dff1 ( .d(b_rd_data), .q(b_rd_data_reg), .clk(wbm_clk), .rst(wbm_rst));
2181
vl_dff_ce # ( .width(36)) dff2 ( .d(b_q), .ce(b_rd_data_reg), .q(temp), .clk(wbm_clk), .rst(wbm_rst));
2182 12 unneback
assign {wbm_dat_o,wbm_sel_o} = (b_rd_data_reg) ? b_q : temp;
2183 18 unneback
vl_cnt_shreg_ce_clear # ( .length(16))
2184 12 unneback
    cnt1 (
2185
        .cke(wbm_ack_i),
2186
        .clear(wbm_eoc),
2187
        .q(wbm_count),
2188
        .rst(wbm_rst),
2189
        .clk(wbm_clk));
2190 33 unneback
assign wbm_cyc_o = (wbm==wbm_data | wbm==wbm_data_wait);
2191
assign wbm_stb_o = (wbm==wbm_data);
2192 12 unneback
always @ (posedge wbm_clk or posedge wbm_rst)
2193
if (wbm_rst)
2194
        {wbm_adr_o,wbm_we_o,wbm_bte_o,wbm_cti_o} <= {30'h0,1'b0,linear,classic};
2195
else begin
2196
        if (wbm==wbm_adr0 & !b_fifo_empty)
2197
                {wbm_adr_o,wbm_we_o,wbm_bte_o,wbm_cti_o} <= b_q;
2198
        else if (wbm_eoc_alert & wbm_ack_i)
2199
                wbm_cti_o <= endofburst;
2200
end
2201
//async_fifo_dw_simplex_top
2202
vl_fifo_2r2w_async_simplex
2203
# ( .data_width(36), .addr_width(addr_width))
2204
fifo (
2205
    // a side
2206
    .a_d(a_d),
2207
    .a_wr(a_wr),
2208
    .a_fifo_full(a_fifo_full),
2209
    .a_q(a_q),
2210
    .a_rd(a_rd),
2211
    .a_fifo_empty(a_fifo_empty),
2212
    .a_clk(wbs_clk),
2213
    .a_rst(wbs_rst),
2214
    // b side
2215
    .b_d(b_d),
2216
    .b_wr(b_wr),
2217
    .b_fifo_full(b_fifo_full),
2218
    .b_q(b_q),
2219
    .b_rd(b_rd),
2220
    .b_fifo_empty(b_fifo_empty),
2221
    .b_clk(wbm_clk),
2222
    .b_rst(wbm_rst)
2223
    );
2224
endmodule
2225 75 unneback
module vl_wb3avalon_bridge (
2226
        // wishbone slave side
2227
        wbs_dat_i, wbs_adr_i, wbs_sel_i, wbs_bte_i, wbs_cti_i, wbs_we_i, wbs_cyc_i, wbs_stb_i, wbs_dat_o, wbs_ack_o, wbs_clk, wbs_rst,
2228 77 unneback
        // avalon master side
2229 75 unneback
        readdata, readdatavalid, address, read, be, write, burstcount, writedata, waitrequest, beginbursttransfer, clk, rst);
2230
input [31:0] wbs_dat_i;
2231
input [31:2] wbs_adr_i;
2232
input [3:0]  wbs_sel_i;
2233
input [1:0]  wbs_bte_i;
2234
input [2:0]  wbs_cti_i;
2235
input wbs_we_i, wbs_cyc_i, wbs_stb_i;
2236
output [31:0] wbs_dat_o;
2237
output wbs_ack_o;
2238
input wbs_clk, wbs_rst;
2239
input [31:0] readdata;
2240
output [31:0] writedata;
2241
output [31:2] address;
2242
output [3:0]  be;
2243
output write;
2244
output read;
2245
output beginbursttransfer;
2246
output [3:0] burstcount;
2247
input readdatavalid;
2248
input waitrequest;
2249
input clk;
2250
input rst;
2251
wire [1:0] wbm_bte_o;
2252
wire [2:0] wbm_cti_o;
2253
wire wbm_we_o, wbm_cyc_o, wbm_stb_o, wbm_ack_i;
2254
reg last_cyc;
2255
always @ (posedge clk or posedge rst)
2256
if (rst)
2257
    last_cyc <= 1'b0;
2258
else
2259
    last_cyc <= wbm_cyc_o;
2260
assign beginbursttransfer = (!last_cyc & wbm_cyc_o) & wbm_cti_o==3'b010;
2261
assign burstcount = (wbm_bte_o==2'b01) ? 4'd4 :
2262
                    (wbm_bte_o==2'b10) ? 4'd8 :
2263
                    4'd16;
2264
assign write = wbm_cyc_o & wbm_stb_o &  wbm_we_o;
2265
assign read  = wbm_cyc_o & wbm_stb_o & !wbm_we_o;
2266
assign wbm_ack_i = (readdatavalid & !waitrequest) | (write & !waitrequest);
2267 77 unneback
vl_wb3wb3_bridge wbwb3inst (
2268 75 unneback
    // wishbone slave side
2269
    .wbs_dat_i(wbs_dat_i),
2270
    .wbs_adr_i(wbs_adr_i),
2271
    .wbs_sel_i(wbs_sel_i),
2272
    .wbs_bte_i(wbs_bte_i),
2273
    .wbs_cti_i(wbs_cti_i),
2274
    .wbs_we_i(wbs_we_i),
2275
    .wbs_cyc_i(wbs_cyc_i),
2276
    .wbs_stb_i(wbs_stb_i),
2277
    .wbs_dat_o(wbs_dat_o),
2278
    .wbs_ack_o(wbs_ack_o),
2279
    .wbs_clk(wbs_clk),
2280
    .wbs_rst(wbs_rst),
2281
    // wishbone master side
2282
    .wbm_dat_o(writedata),
2283
    .wbm_adr_o(adress),
2284
    .wbm_sel_o(be),
2285
    .wbm_bte_o(wbm_bte_o),
2286
    .wbm_cti_o(wbm_cti_o),
2287
    .wbm_we_o(wbm_we_o),
2288
    .wbm_cyc_o(wbm_cyc_o),
2289
    .wbm_stb_o(wbm_stb_o),
2290
    .wbm_dat_i(readdata),
2291
    .wbm_ack_i(wbm_ack_i),
2292
    .wbm_clk(clk),
2293
    .wbm_rst(rst));
2294
endmodule
2295 39 unneback
module vl_wb3_arbiter_type1 (
2296
    wbm_dat_o, wbm_adr_o, wbm_sel_o, wbm_cti_o, wbm_bte_o, wbm_we_o, wbm_stb_o, wbm_cyc_o,
2297
    wbm_dat_i, wbm_ack_i, wbm_err_i, wbm_rty_i,
2298
    wbs_dat_i, wbs_adr_i, wbs_sel_i, wbs_cti_i, wbs_bte_i, wbs_we_i, wbs_stb_i, wbs_cyc_i,
2299
    wbs_dat_o, wbs_ack_o, wbs_err_o, wbs_rty_o,
2300
    wb_clk, wb_rst
2301
);
2302
parameter nr_of_ports = 3;
2303
parameter adr_size = 26;
2304
parameter adr_lo   = 2;
2305
parameter dat_size = 32;
2306
parameter sel_size = dat_size/8;
2307
localparam aw = (adr_size - adr_lo) * nr_of_ports;
2308
localparam dw = dat_size * nr_of_ports;
2309
localparam sw = sel_size * nr_of_ports;
2310
localparam cw = 3 * nr_of_ports;
2311
localparam bw = 2 * nr_of_ports;
2312
input  [dw-1:0] wbm_dat_o;
2313
input  [aw-1:0] wbm_adr_o;
2314
input  [sw-1:0] wbm_sel_o;
2315
input  [cw-1:0] wbm_cti_o;
2316
input  [bw-1:0] wbm_bte_o;
2317
input  [nr_of_ports-1:0] wbm_we_o, wbm_stb_o, wbm_cyc_o;
2318
output [dw-1:0] wbm_dat_i;
2319
output [nr_of_ports-1:0] wbm_ack_i, wbm_err_i, wbm_rty_i;
2320
output [dat_size-1:0] wbs_dat_i;
2321
output [adr_size-1:adr_lo] wbs_adr_i;
2322
output [sel_size-1:0] wbs_sel_i;
2323
output [2:0] wbs_cti_i;
2324
output [1:0] wbs_bte_i;
2325
output wbs_we_i, wbs_stb_i, wbs_cyc_i;
2326
input  [dat_size-1:0] wbs_dat_o;
2327
input  wbs_ack_o, wbs_err_o, wbs_rty_o;
2328
input wb_clk, wb_rst;
2329 44 unneback
reg  [nr_of_ports-1:0] select;
2330 39 unneback
wire [nr_of_ports-1:0] state;
2331
wire [nr_of_ports-1:0] eoc; // end-of-cycle
2332
wire [nr_of_ports-1:0] sel;
2333
wire idle;
2334
genvar i;
2335
assign idle = !(|state);
2336
generate
2337
if (nr_of_ports == 2) begin
2338
    wire [2:0] wbm1_cti_o, wbm0_cti_o;
2339
    assign {wbm1_cti_o,wbm0_cti_o} = wbm_cti_o;
2340 44 unneback
    //assign select = (idle) ? {wbm_cyc_o[1],!wbm_cyc_o[1] & wbm_cyc_o[0]} : {nr_of_ports{1'b0}};
2341
    always @ (idle or wbm_cyc_o)
2342
    if (idle)
2343
        casex (wbm_cyc_o)
2344
        2'b1x : select = 2'b10;
2345
        2'b01 : select = 2'b01;
2346
        default : select = {nr_of_ports{1'b0}};
2347
        endcase
2348
    else
2349
        select = {nr_of_ports{1'b0}};
2350 39 unneback
    assign eoc[1] = (wbm_ack_i[1] & (wbm1_cti_o == 3'b000 | wbm1_cti_o == 3'b111)) | !wbm_cyc_o[1];
2351
    assign eoc[0] = (wbm_ack_i[0] & (wbm0_cti_o == 3'b000 | wbm0_cti_o == 3'b111)) | !wbm_cyc_o[0];
2352
end
2353
endgenerate
2354
generate
2355
if (nr_of_ports == 3) begin
2356
    wire [2:0] wbm2_cti_o, wbm1_cti_o, wbm0_cti_o;
2357
    assign {wbm2_cti_o,wbm1_cti_o,wbm0_cti_o} = wbm_cti_o;
2358 44 unneback
    always @ (idle or wbm_cyc_o)
2359
    if (idle)
2360
        casex (wbm_cyc_o)
2361
        3'b1xx : select = 3'b100;
2362
        3'b01x : select = 3'b010;
2363
        3'b001 : select = 3'b001;
2364
        default : select = {nr_of_ports{1'b0}};
2365
        endcase
2366
    else
2367
        select = {nr_of_ports{1'b0}};
2368
//    assign select = (idle) ? {wbm_cyc_o[2],!wbm_cyc_o[2] & wbm_cyc_o[1],wbm_cyc_o[2:1]==2'b00 & wbm_cyc_o[0]} : {nr_of_ports{1'b0}};
2369 39 unneback
    assign eoc[2] = (wbm_ack_i[2] & (wbm2_cti_o == 3'b000 | wbm2_cti_o == 3'b111)) | !wbm_cyc_o[2];
2370
    assign eoc[1] = (wbm_ack_i[1] & (wbm1_cti_o == 3'b000 | wbm1_cti_o == 3'b111)) | !wbm_cyc_o[1];
2371
    assign eoc[0] = (wbm_ack_i[0] & (wbm0_cti_o == 3'b000 | wbm0_cti_o == 3'b111)) | !wbm_cyc_o[0];
2372
end
2373
endgenerate
2374
generate
2375 44 unneback
if (nr_of_ports == 4) begin
2376
    wire [2:0] wbm3_cti_o, wbm2_cti_o, wbm1_cti_o, wbm0_cti_o;
2377
    assign {wbm3_cti_o, wbm2_cti_o,wbm1_cti_o,wbm0_cti_o} = wbm_cti_o;
2378
    //assign select = (idle) ? {wbm_cyc_o[3],!wbm_cyc_o[3] & wbm_cyc_o[2],wbm_cyc_o[3:2]==2'b00 & wbm_cyc_o[1],wbm_cyc_o[3:1]==3'b000 & wbm_cyc_o[0]} : {nr_of_ports{1'b0}};
2379
    always @ (idle or wbm_cyc_o)
2380
    if (idle)
2381
        casex (wbm_cyc_o)
2382
        4'b1xxx : select = 4'b1000;
2383
        4'b01xx : select = 4'b0100;
2384
        4'b001x : select = 4'b0010;
2385
        4'b0001 : select = 4'b0001;
2386
        default : select = {nr_of_ports{1'b0}};
2387
        endcase
2388
    else
2389
        select = {nr_of_ports{1'b0}};
2390
    assign eoc[3] = (wbm_ack_i[3] & (wbm3_cti_o == 3'b000 | wbm3_cti_o == 3'b111)) | !wbm_cyc_o[3];
2391
    assign eoc[2] = (wbm_ack_i[2] & (wbm2_cti_o == 3'b000 | wbm2_cti_o == 3'b111)) | !wbm_cyc_o[2];
2392
    assign eoc[1] = (wbm_ack_i[1] & (wbm1_cti_o == 3'b000 | wbm1_cti_o == 3'b111)) | !wbm_cyc_o[1];
2393
    assign eoc[0] = (wbm_ack_i[0] & (wbm0_cti_o == 3'b000 | wbm0_cti_o == 3'b111)) | !wbm_cyc_o[0];
2394
end
2395
endgenerate
2396
generate
2397
if (nr_of_ports == 5) begin
2398
    wire [2:0] wbm4_cti_o, wbm3_cti_o, wbm2_cti_o, wbm1_cti_o, wbm0_cti_o;
2399
    assign {wbm4_cti_o, wbm3_cti_o, wbm2_cti_o,wbm1_cti_o,wbm0_cti_o} = wbm_cti_o;
2400
    //assign select = (idle) ? {wbm_cyc_o[3],!wbm_cyc_o[3] & wbm_cyc_o[2],wbm_cyc_o[3:2]==2'b00 & wbm_cyc_o[1],wbm_cyc_o[3:1]==3'b000 & wbm_cyc_o[0]} : {nr_of_ports{1'b0}};
2401
    always @ (idle or wbm_cyc_o)
2402
    if (idle)
2403
        casex (wbm_cyc_o)
2404
        5'b1xxxx : select = 5'b10000;
2405
        5'b01xxx : select = 5'b01000;
2406
        5'b001xx : select = 5'b00100;
2407
        5'b0001x : select = 5'b00010;
2408
        5'b00001 : select = 5'b00001;
2409
        default : select = {nr_of_ports{1'b0}};
2410
        endcase
2411
    else
2412
        select = {nr_of_ports{1'b0}};
2413
    assign eoc[4] = (wbm_ack_i[4] & (wbm4_cti_o == 3'b000 | wbm4_cti_o == 3'b111)) | !wbm_cyc_o[4];
2414
    assign eoc[3] = (wbm_ack_i[3] & (wbm3_cti_o == 3'b000 | wbm3_cti_o == 3'b111)) | !wbm_cyc_o[3];
2415
    assign eoc[2] = (wbm_ack_i[2] & (wbm2_cti_o == 3'b000 | wbm2_cti_o == 3'b111)) | !wbm_cyc_o[2];
2416
    assign eoc[1] = (wbm_ack_i[1] & (wbm1_cti_o == 3'b000 | wbm1_cti_o == 3'b111)) | !wbm_cyc_o[1];
2417
    assign eoc[0] = (wbm_ack_i[0] & (wbm0_cti_o == 3'b000 | wbm0_cti_o == 3'b111)) | !wbm_cyc_o[0];
2418
end
2419
endgenerate
2420
generate
2421 67 unneback
if (nr_of_ports == 6) begin
2422
    wire [2:0] wbm5_cti_o, wbm4_cti_o, wbm3_cti_o, wbm2_cti_o, wbm1_cti_o, wbm0_cti_o;
2423
    assign {wbm5_cti_o, wbm4_cti_o, wbm3_cti_o, wbm2_cti_o,wbm1_cti_o,wbm0_cti_o} = wbm_cti_o;
2424
    //assign select = (idle) ? {wbm_cyc_o[3],!wbm_cyc_o[3] & wbm_cyc_o[2],wbm_cyc_o[3:2]==2'b00 & wbm_cyc_o[1],wbm_cyc_o[3:1]==3'b000 & wbm_cyc_o[0]} : {nr_of_ports{1'b0}};
2425
    always @ (idle or wbm_cyc_o)
2426
    if (idle)
2427
        casex (wbm_cyc_o)
2428
        6'b1xxxxx : select = 6'b100000;
2429
        6'b01xxxx : select = 6'b010000;
2430
        6'b001xxx : select = 6'b001000;
2431
        6'b0001xx : select = 6'b000100;
2432
        6'b00001x : select = 6'b000010;
2433
        6'b000001 : select = 6'b000001;
2434
        default : select = {nr_of_ports{1'b0}};
2435
        endcase
2436
    else
2437
        select = {nr_of_ports{1'b0}};
2438
    assign eoc[5] = (wbm_ack_i[5] & (wbm5_cti_o == 3'b000 | wbm5_cti_o == 3'b111)) | !wbm_cyc_o[5];
2439
    assign eoc[4] = (wbm_ack_i[4] & (wbm4_cti_o == 3'b000 | wbm4_cti_o == 3'b111)) | !wbm_cyc_o[4];
2440
    assign eoc[3] = (wbm_ack_i[3] & (wbm3_cti_o == 3'b000 | wbm3_cti_o == 3'b111)) | !wbm_cyc_o[3];
2441
    assign eoc[2] = (wbm_ack_i[2] & (wbm2_cti_o == 3'b000 | wbm2_cti_o == 3'b111)) | !wbm_cyc_o[2];
2442
    assign eoc[1] = (wbm_ack_i[1] & (wbm1_cti_o == 3'b000 | wbm1_cti_o == 3'b111)) | !wbm_cyc_o[1];
2443
    assign eoc[0] = (wbm_ack_i[0] & (wbm0_cti_o == 3'b000 | wbm0_cti_o == 3'b111)) | !wbm_cyc_o[0];
2444
end
2445
endgenerate
2446
generate
2447
if (nr_of_ports == 7) begin
2448
    wire [2:0] wbm6_cti_o, wbm5_cti_o, wbm4_cti_o, wbm3_cti_o, wbm2_cti_o, wbm1_cti_o, wbm0_cti_o;
2449
    assign {wbm6_cti_o, wbm5_cti_o, wbm4_cti_o, wbm3_cti_o, wbm2_cti_o,wbm1_cti_o,wbm0_cti_o} = wbm_cti_o;
2450
    //assign select = (idle) ? {wbm_cyc_o[3],!wbm_cyc_o[3] & wbm_cyc_o[2],wbm_cyc_o[3:2]==2'b00 & wbm_cyc_o[1],wbm_cyc_o[3:1]==3'b000 & wbm_cyc_o[0]} : {nr_of_ports{1'b0}};
2451
    always @ (idle or wbm_cyc_o)
2452
    if (idle)
2453
        casex (wbm_cyc_o)
2454
        7'b1xxxxxx : select = 7'b1000000;
2455
        7'b01xxxxx : select = 7'b0100000;
2456
        7'b001xxxx : select = 7'b0010000;
2457
        7'b0001xxx : select = 7'b0001000;
2458
        7'b00001xx : select = 7'b0000100;
2459
        7'b000001x : select = 7'b0000010;
2460
        7'b0000001 : select = 7'b0000001;
2461
        default : select = {nr_of_ports{1'b0}};
2462
        endcase
2463
    else
2464
        select = {nr_of_ports{1'b0}};
2465
    assign eoc[6] = (wbm_ack_i[6] & (wbm6_cti_o == 3'b000 | wbm6_cti_o == 3'b111)) | !wbm_cyc_o[6];
2466
    assign eoc[5] = (wbm_ack_i[5] & (wbm5_cti_o == 3'b000 | wbm5_cti_o == 3'b111)) | !wbm_cyc_o[5];
2467
    assign eoc[4] = (wbm_ack_i[4] & (wbm4_cti_o == 3'b000 | wbm4_cti_o == 3'b111)) | !wbm_cyc_o[4];
2468
    assign eoc[3] = (wbm_ack_i[3] & (wbm3_cti_o == 3'b000 | wbm3_cti_o == 3'b111)) | !wbm_cyc_o[3];
2469
    assign eoc[2] = (wbm_ack_i[2] & (wbm2_cti_o == 3'b000 | wbm2_cti_o == 3'b111)) | !wbm_cyc_o[2];
2470
    assign eoc[1] = (wbm_ack_i[1] & (wbm1_cti_o == 3'b000 | wbm1_cti_o == 3'b111)) | !wbm_cyc_o[1];
2471
    assign eoc[0] = (wbm_ack_i[0] & (wbm0_cti_o == 3'b000 | wbm0_cti_o == 3'b111)) | !wbm_cyc_o[0];
2472
end
2473
endgenerate
2474
generate
2475
if (nr_of_ports == 8) begin
2476
    wire [2:0] wbm7_cti_o, wbm6_cti_o, wbm5_cti_o, wbm4_cti_o, wbm3_cti_o, wbm2_cti_o, wbm1_cti_o, wbm0_cti_o;
2477
    assign {wbm7_cti_o, wbm6_cti_o, wbm5_cti_o, wbm4_cti_o, wbm3_cti_o, wbm2_cti_o,wbm1_cti_o,wbm0_cti_o} = wbm_cti_o;
2478
    //assign select = (idle) ? {wbm_cyc_o[3],!wbm_cyc_o[3] & wbm_cyc_o[2],wbm_cyc_o[3:2]==2'b00 & wbm_cyc_o[1],wbm_cyc_o[3:1]==3'b000 & wbm_cyc_o[0]} : {nr_of_ports{1'b0}};
2479
    always @ (idle or wbm_cyc_o)
2480
    if (idle)
2481
        casex (wbm_cyc_o)
2482
        8'b1xxxxxxx : select = 8'b10000000;
2483
        8'b01xxxxxx : select = 8'b01000000;
2484
        8'b001xxxxx : select = 8'b00100000;
2485
        8'b0001xxxx : select = 8'b00010000;
2486
        8'b00001xxx : select = 8'b00001000;
2487
        8'b000001xx : select = 8'b00000100;
2488
        8'b0000001x : select = 8'b00000010;
2489
        8'b00000001 : select = 8'b00000001;
2490
        default : select = {nr_of_ports{1'b0}};
2491
        endcase
2492
    else
2493
        select = {nr_of_ports{1'b0}};
2494
    assign eoc[7] = (wbm_ack_i[7] & (wbm7_cti_o == 3'b000 | wbm7_cti_o == 3'b111)) | !wbm_cyc_o[7];
2495
    assign eoc[6] = (wbm_ack_i[6] & (wbm6_cti_o == 3'b000 | wbm6_cti_o == 3'b111)) | !wbm_cyc_o[6];
2496
    assign eoc[5] = (wbm_ack_i[5] & (wbm5_cti_o == 3'b000 | wbm5_cti_o == 3'b111)) | !wbm_cyc_o[5];
2497
    assign eoc[4] = (wbm_ack_i[4] & (wbm4_cti_o == 3'b000 | wbm4_cti_o == 3'b111)) | !wbm_cyc_o[4];
2498
    assign eoc[3] = (wbm_ack_i[3] & (wbm3_cti_o == 3'b000 | wbm3_cti_o == 3'b111)) | !wbm_cyc_o[3];
2499
    assign eoc[2] = (wbm_ack_i[2] & (wbm2_cti_o == 3'b000 | wbm2_cti_o == 3'b111)) | !wbm_cyc_o[2];
2500
    assign eoc[1] = (wbm_ack_i[1] & (wbm1_cti_o == 3'b000 | wbm1_cti_o == 3'b111)) | !wbm_cyc_o[1];
2501
    assign eoc[0] = (wbm_ack_i[0] & (wbm0_cti_o == 3'b000 | wbm0_cti_o == 3'b111)) | !wbm_cyc_o[0];
2502
end
2503
endgenerate
2504
generate
2505 63 unneback
for (i=0;i<nr_of_ports;i=i+1) begin : spr0
2506 39 unneback
    vl_spr sr0( .sp(select[i]), .r(eoc[i]), .q(state[i]), .clk(wb_clk), .rst(wb_rst));
2507
end
2508
endgenerate
2509
    assign sel = select | state;
2510
    vl_mux_andor # ( .nr_of_ports(nr_of_ports), .width(32)) mux0 ( .a(wbm_dat_o), .sel(sel), .dout(wbs_dat_i));
2511
    vl_mux_andor # ( .nr_of_ports(nr_of_ports), .width(adr_size-adr_lo)) mux1 ( .a(wbm_adr_o), .sel(sel), .dout(wbs_adr_i));
2512
    vl_mux_andor # ( .nr_of_ports(nr_of_ports), .width(sel_size)) mux2 ( .a(wbm_sel_o), .sel(sel), .dout(wbs_sel_i));
2513
    vl_mux_andor # ( .nr_of_ports(nr_of_ports), .width(3)) mux3 ( .a(wbm_cti_o), .sel(sel), .dout(wbs_cti_i));
2514
    vl_mux_andor # ( .nr_of_ports(nr_of_ports), .width(2)) mux4 ( .a(wbm_bte_o), .sel(sel), .dout(wbs_bte_i));
2515
    vl_mux_andor # ( .nr_of_ports(nr_of_ports), .width(1)) mux5 ( .a(wbm_we_o), .sel(sel), .dout(wbs_we_i));
2516
    vl_mux_andor # ( .nr_of_ports(nr_of_ports), .width(1)) mux6 ( .a(wbm_stb_o), .sel(sel), .dout(wbs_stb_i));
2517
    assign wbs_cyc_i = |sel;
2518
    assign wbm_dat_i = {nr_of_ports{wbs_dat_o}};
2519
    assign wbm_ack_i = {nr_of_ports{wbs_ack_o}} & sel;
2520
    assign wbm_err_i = {nr_of_ports{wbs_err_o}} & sel;
2521
    assign wbm_rty_i = {nr_of_ports{wbs_rty_o}} & sel;
2522
endmodule
2523 49 unneback
// WB RAM with byte enable
2524 59 unneback
module vl_wb_b3_ram_be (
2525 69 unneback
    wbs_dat_i, wbs_adr_i, wbs_cti_i, wbs_bte_i, wbs_sel_i, wbs_we_i, wbs_stb_i, wbs_cyc_i,
2526
    wbs_dat_o, wbs_ack_o, wb_clk, wb_rst);
2527 68 unneback
parameter adr_size = 16;
2528 60 unneback
parameter adr_lo   = 2;
2529 68 unneback
parameter mem_size = 1<<16;
2530 60 unneback
parameter dat_size = 32;
2531
parameter memory_init = 1;
2532
parameter memory_file = "vl_ram.vmem";
2533 69 unneback
localparam aw = (adr_size - adr_lo);
2534
localparam dw = dat_size;
2535
localparam sw = dat_size/8;
2536
localparam cw = 3;
2537
localparam bw = 2;
2538 70 unneback
input [dw-1:0] wbs_dat_i;
2539
input [aw-1:0] wbs_adr_i;
2540
input [cw-1:0] wbs_cti_i;
2541
input [bw-1:0] wbs_bte_i;
2542
input [sw-1:0] wbs_sel_i;
2543
input wbs_we_i, wbs_stb_i, wbs_cyc_i;
2544
output [dw-1:0] wbs_dat_o;
2545
output wbs_ack_o;
2546 71 unneback
input wb_clk, wb_rst;
2547 60 unneback
wire [sw-1:0] cke;
2548
reg wbs_ack_o;
2549
vl_ram_be # (
2550
    .data_width(dat_size),
2551 72 unneback
    .addr_width(adr_size-2),
2552 69 unneback
    .mem_size(mem_size),
2553 68 unneback
    .memory_init(memory_init),
2554
    .memory_file(memory_file))
2555 60 unneback
ram0(
2556
    .d(wbs_dat_i),
2557
    .adr(wbs_adr_i[adr_size-1:2]),
2558
    .be(wbs_sel_i),
2559
    .we(wbs_we_i),
2560
    .q(wbs_dat_o),
2561
    .clk(wb_clk)
2562
);
2563 59 unneback
always @ (posedge wb_clk or posedge wb_rst)
2564
if (wb_rst)
2565 60 unneback
    wbs_ack_o <= 1'b0;
2566 59 unneback
else
2567 60 unneback
    if (wbs_cti_i==3'b000 | wbs_cti_i==3'b111)
2568
        wbs_ack_o <= wbs_stb_i & wbs_cyc_i & !wbs_ack_o;
2569 59 unneback
    else
2570 60 unneback
        wbs_ack_o <= wbs_stb_i & wbs_cyc_i;
2571 59 unneback
endmodule
2572
// WB RAM with byte enable
2573 49 unneback
module vl_wb_b4_ram_be (
2574
    wb_dat_i, wb_adr_i, wb_sel_i, wb_we_i, wb_stb_i, wb_cyc_i,
2575 52 unneback
    wb_dat_o, wb_stall_o, wb_ack_o, wb_clk, wb_rst);
2576 49 unneback
    parameter dat_width = 32;
2577
    parameter adr_width = 8;
2578
input [dat_width-1:0] wb_dat_i;
2579
input [adr_width-1:0] wb_adr_i;
2580
input [dat_width/8-1:0] wb_sel_i;
2581
input wb_we_i, wb_stb_i, wb_cyc_i;
2582
output [dat_width-1:0] wb_dat_o;
2583 51 unneback
reg [dat_width-1:0] wb_dat_o;
2584 52 unneback
output wb_stall_o;
2585 49 unneback
output wb_ack_o;
2586
reg wb_ack_o;
2587
input wb_clk, wb_rst;
2588 56 unneback
wire [dat_width/8-1:0] cke;
2589 49 unneback
generate
2590
if (dat_width==32) begin
2591 51 unneback
reg [7:0] ram3 [1<<(adr_width-2)-1:0];
2592
reg [7:0] ram2 [1<<(adr_width-2)-1:0];
2593
reg [7:0] ram1 [1<<(adr_width-2)-1:0];
2594
reg [7:0] ram0 [1<<(adr_width-2)-1:0];
2595 56 unneback
assign cke = wb_sel_i & {(dat_width/8){wb_we_i}};
2596 49 unneback
    always @ (posedge wb_clk)
2597
    begin
2598 56 unneback
        if (cke[3]) ram3[wb_adr_i[adr_width-1:2]] <= wb_dat_i[31:24];
2599
        if (cke[2]) ram2[wb_adr_i[adr_width-1:2]] <= wb_dat_i[23:16];
2600
        if (cke[1]) ram1[wb_adr_i[adr_width-1:2]] <= wb_dat_i[15:8];
2601
        if (cke[0]) ram0[wb_adr_i[adr_width-1:2]] <= wb_dat_i[7:0];
2602 49 unneback
    end
2603 59 unneback
    always @ (posedge wb_clk or posedge wb_rst)
2604
    begin
2605
        if (wb_rst)
2606
            wb_dat_o <= 32'h0;
2607
        else
2608
            wb_dat_o <= {ram3[wb_adr_i[adr_width-1:2]],ram2[wb_adr_i[adr_width-1:2]],ram1[wb_adr_i[adr_width-1:2]],ram0[wb_adr_i[adr_width-1:2]]};
2609
    end
2610 49 unneback
end
2611
endgenerate
2612 52 unneback
always @ (posedge wb_clk or posedge wb_rst)
2613 55 unneback
if (wb_rst)
2614 52 unneback
    wb_ack_o <= 1'b0;
2615
else
2616 54 unneback
    wb_ack_o <= wb_stb_i & wb_cyc_i;
2617 52 unneback
assign wb_stall_o = 1'b0;
2618 49 unneback
endmodule
2619 17 unneback
// WB ROM
2620 48 unneback
module vl_wb_b4_rom (
2621
    wb_adr_i, wb_stb_i, wb_cyc_i,
2622
    wb_dat_o, stall_o, wb_ack_o, wb_clk, wb_rst);
2623
    parameter dat_width = 32;
2624
    parameter dat_default = 32'h15000000;
2625
    parameter adr_width = 32;
2626
/*
2627
`ifndef ROM
2628
`define ROM "rom.v"
2629
`endif
2630
*/
2631
    input [adr_width-1:2]   wb_adr_i;
2632
    input                   wb_stb_i;
2633
    input                   wb_cyc_i;
2634
    output [dat_width-1:0]  wb_dat_o;
2635
    reg [dat_width-1:0]     wb_dat_o;
2636
    output                  wb_ack_o;
2637
    reg                     wb_ack_o;
2638
    output                  stall_o;
2639
    input                   wb_clk;
2640
    input                   wb_rst;
2641
always @ (posedge wb_clk or posedge wb_rst)
2642
    if (wb_rst)
2643
        wb_dat_o <= {dat_width{1'b0}};
2644
    else
2645
         case (wb_adr_i[adr_width-1:2])
2646
`ifdef ROM
2647
`include `ROM
2648
`endif
2649
           default:
2650
             wb_dat_o <= dat_default;
2651
         endcase // case (wb_adr_i)
2652
always @ (posedge wb_clk or posedge wb_rst)
2653
    if (wb_rst)
2654
        wb_ack_o <= 1'b0;
2655
    else
2656
        wb_ack_o <= wb_stb_i & wb_cyc_i;
2657
assign stall_o = 1'b0;
2658
endmodule
2659
// WB ROM
2660 18 unneback
module vl_wb_boot_rom (
2661 17 unneback
    wb_adr_i, wb_stb_i, wb_cyc_i,
2662 18 unneback
    wb_dat_o, wb_ack_o, hit_o, wb_clk, wb_rst);
2663
    parameter adr_hi = 31;
2664
    parameter adr_lo = 28;
2665
    parameter adr_sel = 4'hf;
2666
    parameter addr_width = 5;
2667 33 unneback
/*
2668
`ifndef BOOT_ROM
2669
`define BOOT_ROM "boot_rom.v"
2670
`endif
2671
*/
2672 18 unneback
    input [adr_hi:2]    wb_adr_i;
2673
    input               wb_stb_i;
2674
    input               wb_cyc_i;
2675
    output [31:0]        wb_dat_o;
2676
    output              wb_ack_o;
2677
    output              hit_o;
2678
    input               wb_clk;
2679
    input               wb_rst;
2680
    wire hit;
2681
    reg [31:0] wb_dat;
2682
    reg wb_ack;
2683
assign hit = wb_adr_i[adr_hi:adr_lo] == adr_sel;
2684 17 unneback
always @ (posedge wb_clk or posedge wb_rst)
2685
    if (wb_rst)
2686 18 unneback
        wb_dat <= 32'h15000000;
2687 17 unneback
    else
2688 18 unneback
         case (wb_adr_i[addr_width-1:2])
2689 33 unneback
`ifdef BOOT_ROM
2690
`include `BOOT_ROM
2691
`endif
2692 17 unneback
           /*
2693
            // Zero r0 and jump to 0x00000100
2694 18 unneback
 
2695
            1 : wb_dat <= 32'hA8200000;
2696
            2 : wb_dat <= 32'hA8C00100;
2697
            3 : wb_dat <= 32'h44003000;
2698
            4 : wb_dat <= 32'h15000000;
2699 17 unneback
            */
2700
           default:
2701 18 unneback
             wb_dat <= 32'h00000000;
2702 17 unneback
         endcase // case (wb_adr_i)
2703
always @ (posedge wb_clk or posedge wb_rst)
2704
    if (wb_rst)
2705 18 unneback
        wb_ack <= 1'b0;
2706 17 unneback
    else
2707 18 unneback
        wb_ack <= wb_stb_i & wb_cyc_i & hit & !wb_ack;
2708
assign hit_o = hit;
2709
assign wb_dat_o = wb_dat & {32{wb_ack}};
2710
assign wb_ack_o = wb_ack;
2711 17 unneback
endmodule
2712 32 unneback
module vl_wb_dpram (
2713
        // wishbone slave side a
2714
        wbsa_dat_i, wbsa_adr_i, wbsa_we_i, wbsa_cyc_i, wbsa_stb_i, wbsa_dat_o, wbsa_ack_o,
2715
        wbsa_clk, wbsa_rst,
2716
        // wishbone slave side a
2717
        wbsb_dat_i, wbsb_adr_i, wbsb_we_i, wbsb_cyc_i, wbsb_stb_i, wbsb_dat_o, wbsb_ack_o,
2718
        wbsb_clk, wbsb_rst);
2719
parameter data_width = 32;
2720
parameter addr_width = 8;
2721
parameter dat_o_mask_a = 1;
2722
parameter dat_o_mask_b = 1;
2723
input [31:0] wbsa_dat_i;
2724
input [addr_width-1:2] wbsa_adr_i;
2725
input wbsa_we_i, wbsa_cyc_i, wbsa_stb_i;
2726
output [31:0] wbsa_dat_o;
2727
output wbsa_ack_o;
2728
input wbsa_clk, wbsa_rst;
2729
input [31:0] wbsb_dat_i;
2730
input [addr_width-1:2] wbsb_adr_i;
2731
input wbsb_we_i, wbsb_cyc_i, wbsb_stb_i;
2732
output [31:0] wbsb_dat_o;
2733
output wbsb_ack_o;
2734
input wbsb_clk, wbsb_rst;
2735
wire wbsa_dat_tmp, wbsb_dat_tmp;
2736
vl_dpram_2r2w # (
2737 33 unneback
    .data_width(data_width), .addr_width(addr_width) )
2738 32 unneback
dpram0(
2739
    .d_a(wbsa_dat_i),
2740
    .q_a(wbsa_dat_tmp),
2741
    .adr_a(wbsa_adr_i),
2742
    .we_a(wbsa_we_i),
2743
    .clk_a(wbsa_clk),
2744
    .d_b(wbsb_dat_i),
2745
    .q_b(wbsb_dat_tmp),
2746
    .adr_b(wbsb_adr_i),
2747
    .we_b(wbsb_we_i),
2748
    .clk_b(wbsb_clk) );
2749 33 unneback
generate if (dat_o_mask_a==1)
2750 32 unneback
    assign wbsa_dat_o = wbsa_dat_tmp & {data_width{wbsa_ack_o}};
2751
endgenerate
2752 33 unneback
generate if (dat_o_mask_a==0)
2753 32 unneback
    assign wbsa_dat_o = wbsa_dat_tmp;
2754
endgenerate
2755 33 unneback
generate if (dat_o_mask_b==1)
2756 32 unneback
    assign wbsb_dat_o = wbsb_dat_tmp & {data_width{wbsb_ack_o}};
2757
endgenerate
2758 33 unneback
generate if (dat_o_mask_b==0)
2759 32 unneback
    assign wbsb_dat_o = wbsb_dat_tmp;
2760
endgenerate
2761
vl_spr ack_a( .sp(wbsa_cyc_i & wbsa_stb_i & !wbsa_ack_o), .r(1'b1), .q(wbsa_ack_o), .clk(wbsa_clk), .rst(wbsa_rst));
2762
vl_spr ack_b( .sp(wbsb_cyc_i & wbsb_stb_i & !wbsb_ack_o), .r(1'b1), .q(wbsb_ack_o), .clk(wbsb_clk), .rst(wbsb_rst));
2763
endmodule
2764 18 unneback
//////////////////////////////////////////////////////////////////////
2765
////                                                              ////
2766
////  Arithmetic functions                                        ////
2767
////                                                              ////
2768
////  Description                                                 ////
2769
////  Arithmetic functions for ALU and DSP                        ////
2770
////                                                              ////
2771
////                                                              ////
2772
////  To Do:                                                      ////
2773
////   -                                                          ////
2774
////                                                              ////
2775
////  Author(s):                                                  ////
2776
////      - Michael Unneback, unneback@opencores.org              ////
2777
////        ORSoC AB                                              ////
2778
////                                                              ////
2779
//////////////////////////////////////////////////////////////////////
2780
////                                                              ////
2781
//// Copyright (C) 2010 Authors and OPENCORES.ORG                 ////
2782
////                                                              ////
2783
//// This source file may be used and distributed without         ////
2784
//// restriction provided that this copyright statement is not    ////
2785
//// removed from the file and that any derivative work contains  ////
2786
//// the original copyright notice and the associated disclaimer. ////
2787
////                                                              ////
2788
//// This source file is free software; you can redistribute it   ////
2789
//// and/or modify it under the terms of the GNU Lesser General   ////
2790
//// Public License as published by the Free Software Foundation; ////
2791
//// either version 2.1 of the License, or (at your option) any   ////
2792
//// later version.                                               ////
2793
////                                                              ////
2794
//// This source is distributed in the hope that it will be       ////
2795
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
2796
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
2797
//// PURPOSE.  See the GNU Lesser General Public License for more ////
2798
//// details.                                                     ////
2799
////                                                              ////
2800
//// You should have received a copy of the GNU Lesser General    ////
2801
//// Public License along with this source; if not, download it   ////
2802
//// from http://www.opencores.org/lgpl.shtml                     ////
2803
////                                                              ////
2804
//////////////////////////////////////////////////////////////////////
2805
// signed multiplication
2806
module vl_mults (a,b,p);
2807
parameter operand_a_width = 18;
2808
parameter operand_b_width = 18;
2809
parameter result_hi = 35;
2810
parameter result_lo = 0;
2811
input [operand_a_width-1:0] a;
2812
input [operand_b_width-1:0] b;
2813
output [result_hi:result_lo] p;
2814
wire signed [operand_a_width-1:0] ai;
2815
wire signed [operand_b_width-1:0] bi;
2816
wire signed [operand_a_width+operand_b_width-1:0] result;
2817
    assign ai = a;
2818
    assign bi = b;
2819
    assign result = ai * bi;
2820
    assign p = result[result_hi:result_lo];
2821
endmodule
2822
module vl_mults18x18 (a,b,p);
2823
input [17:0] a,b;
2824
output [35:0] p;
2825
vl_mult
2826
    # (.operand_a_width(18), .operand_b_width(18))
2827
    mult0 (.a(a), .b(b), .p(p));
2828
endmodule
2829
// unsigned multiplication
2830
module vl_mult (a,b,p);
2831
parameter operand_a_width = 18;
2832
parameter operand_b_width = 18;
2833
parameter result_hi = 35;
2834
parameter result_lo = 0;
2835
input [operand_a_width-1:0] a;
2836
input [operand_b_width-1:0] b;
2837
output [result_hi:result_hi] p;
2838
wire [operand_a_width+operand_b_width-1:0] result;
2839
    assign result = a * b;
2840
    assign p = result[result_hi:result_lo];
2841
endmodule
2842
// shift unit
2843
// supporting the following shift functions
2844
//   SLL
2845
//   SRL
2846
//   SRA
2847
module vl_shift_unit_32( din, s, dout, opcode);
2848
input [31:0] din; // data in operand
2849
input [4:0] s; // shift operand
2850
input [1:0] opcode;
2851
output [31:0] dout;
2852
parameter opcode_sll = 2'b00;
2853
//parameter opcode_srl = 2'b01;
2854
parameter opcode_sra = 2'b10;
2855
//parameter opcode_ror = 2'b11;
2856
wire sll, sra;
2857
assign sll = opcode == opcode_sll;
2858
assign sra = opcode == opcode_sra;
2859
wire [15:1] s1;
2860
wire [3:0] sign;
2861
wire [7:0] tmp [0:3];
2862
// first stage is multiplier based
2863
// shift operand as fractional 8.7
2864
assign s1[15] = sll & s[2:0]==3'd7;
2865
assign s1[14] = sll & s[2:0]==3'd6;
2866
assign s1[13] = sll & s[2:0]==3'd5;
2867
assign s1[12] = sll & s[2:0]==3'd4;
2868
assign s1[11] = sll & s[2:0]==3'd3;
2869
assign s1[10] = sll & s[2:0]==3'd2;
2870
assign s1[ 9] = sll & s[2:0]==3'd1;
2871
assign s1[ 8] = s[2:0]==3'd0;
2872
assign s1[ 7] = !sll & s[2:0]==3'd1;
2873
assign s1[ 6] = !sll & s[2:0]==3'd2;
2874
assign s1[ 5] = !sll & s[2:0]==3'd3;
2875
assign s1[ 4] = !sll & s[2:0]==3'd4;
2876
assign s1[ 3] = !sll & s[2:0]==3'd5;
2877
assign s1[ 2] = !sll & s[2:0]==3'd6;
2878
assign s1[ 1] = !sll & s[2:0]==3'd7;
2879
assign sign[3] = din[31] & sra;
2880
assign sign[2] = sign[3] & (&din[31:24]);
2881
assign sign[1] = sign[2] & (&din[23:16]);
2882
assign sign[0] = sign[1] & (&din[15:8]);
2883
vl_mults # ( .operand_a_width(25), .operand_b_width(16), .result_hi(14), .result_lo(7)) mult_byte3 ( .a({sign[3], {8{sign[3]}},din[31:24], din[23:16]}), .b({1'b0,s1}), .p(tmp[3]));
2884
vl_mults # ( .operand_a_width(25), .operand_b_width(16), .result_hi(14), .result_lo(7)) mult_byte2 ( .a({sign[2], din[31:24]  ,din[23:16],  din[15:8]}), .b({1'b0,s1}), .p(tmp[2]));
2885
vl_mults # ( .operand_a_width(25), .operand_b_width(16), .result_hi(14), .result_lo(7)) mult_byte1 ( .a({sign[1], din[23:16]  ,din[15:8],   din[7:0]}), .b({1'b0,s1}), .p(tmp[1]));
2886
vl_mults # ( .operand_a_width(25), .operand_b_width(16), .result_hi(14), .result_lo(7)) mult_byte0 ( .a({sign[0], din[15:8]   ,din[7:0],    8'h00}),      .b({1'b0,s1}), .p(tmp[0]));
2887
// second stage is multiplexer based
2888
// shift on byte level
2889
// mux byte 3
2890
assign dout[31:24] = (s[4:3]==2'b00) ? tmp[3] :
2891
                     (sll & s[4:3]==2'b01) ? tmp[2] :
2892
                     (sll & s[4:3]==2'b10) ? tmp[1] :
2893
                     (sll & s[4:3]==2'b11) ? tmp[0] :
2894
                     {8{sign[3]}};
2895
// mux byte 2
2896
assign dout[23:16] = (s[4:3]==2'b00) ? tmp[2] :
2897
                     (sll & s[4:3]==2'b01) ? tmp[1] :
2898
                     (sll & s[4:3]==2'b10) ? tmp[0] :
2899
                     (sll & s[4:3]==2'b11) ? {8{1'b0}} :
2900
                     (s[4:3]==2'b01) ? tmp[3] :
2901
                     {8{sign[3]}};
2902
// mux byte 1
2903
assign dout[15:8]  = (s[4:3]==2'b00) ? tmp[1] :
2904
                     (sll & s[4:3]==2'b01) ? tmp[0] :
2905
                     (sll & s[4:3]==2'b10) ? {8{1'b0}} :
2906
                     (sll & s[4:3]==2'b11) ? {8{1'b0}} :
2907
                     (s[4:3]==2'b01) ? tmp[2] :
2908
                     (s[4:3]==2'b10) ? tmp[3] :
2909
                     {8{sign[3]}};
2910
// mux byte 0
2911
assign dout[7:0]   = (s[4:3]==2'b00) ? tmp[0] :
2912
                     (sll) ?  {8{1'b0}}:
2913
                     (s[4:3]==2'b01) ? tmp[1] :
2914
                     (s[4:3]==2'b10) ? tmp[2] :
2915
                     tmp[3];
2916
endmodule
2917
// logic unit
2918
// supporting the following logic functions
2919
//    a and b
2920
//    a or  b
2921
//    a xor b
2922
//    not b
2923
module vl_logic_unit( a, b, result, opcode);
2924
parameter width = 32;
2925
parameter opcode_and = 2'b00;
2926
parameter opcode_or  = 2'b01;
2927
parameter opcode_xor = 2'b10;
2928
input [width-1:0] a,b;
2929
output [width-1:0] result;
2930
input [1:0] opcode;
2931
assign result = (opcode==opcode_and) ? a & b :
2932
                (opcode==opcode_or)  ? a | b :
2933
                (opcode==opcode_xor) ? a ^ b :
2934
                b;
2935
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.