OpenCores
URL https://opencores.org/ocsvn/vhdl_wb_tb/vhdl_wb_tb/trunk

Subversion Repositories vhdl_wb_tb

[/] [vhdl_wb_tb/] [trunk/] [bench/] [vhdl/] [tb_pkg.vhd] - Blame information for rev 4

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 sinx
---------------------------------------------------------------------- 
2
----                                                              ---- 
3
----  VHDL Wishbone TESTBENCH                                     ---- 
4
----                                                              ---- 
5
----  This file is part of the vhdl_wb_tb project                 ---- 
6
----  http://www.opencores.org/cores/vhdl_wb_tb/                  ---- 
7
----                                                              ---- 
8 4 sinx
----  This file contains constants for the test bench, such as    ----
9
----  register definitions.                                       ---- 
10 2 sinx
----                                                              ---- 
11
----  To Do:                                                      ---- 
12
----   -                                                          ---- 
13
----                                                              ---- 
14
----  Author(s):                                                  ---- 
15 4 sinx
----      - Sinx, sinx@opencores.org                              ---- 
16 2 sinx
----                                                              ---- 
17
---------------------------------------------------------------------- 
18 4 sinx
----    SVN information
19
----
20
----      $URL:  $
21
---- $Revision:  $
22
----     $Date:  $
23
----   $Author:  $
24
----       $Id:  $
25
---------------------------------------------------------------------- 
26 2 sinx
----                                                              ---- 
27
---- Copyright (C) 2018 Authors and OPENCORES.ORG                 ---- 
28
----                                                              ---- 
29
---- This source file may be used and distributed without         ---- 
30
---- restriction provided that this copyright statement is not    ---- 
31
---- removed from the file and that any derivative work contains  ---- 
32
---- the original copyright notice and the associated disclaimer. ---- 
33
----                                                              ---- 
34
---- This source file is free software; you can redistribute it   ---- 
35
---- and/or modify it under the terms of the GNU Lesser General   ---- 
36
---- Public License as published by the Free Software Foundation; ---- 
37
---- either version 2.1 of the License, or (at your option) any   ---- 
38
---- later version.                                               ---- 
39
----                                                              ---- 
40
---- This source is distributed in the hope that it will be       ---- 
41
---- useful, but WITHOUT ANY WARRANTY; without even the implied   ---- 
42
---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ---- 
43
---- PURPOSE.  See the GNU Lesser General Public License for more ---- 
44
---- details.                                                     ---- 
45
----                                                              ---- 
46
---- You should have received a copy of the GNU Lesser General    ---- 
47
---- Public License along with this source; if not, download it   ---- 
48
---- from http://www.opencores.org/lgpl.shtml                     ---- 
49
----                                                              ---- 
50
----------------------------------------------------------------------
51
 
52
-- library -----------------------------------------------------------
53
library ieee;
54
use ieee.std_logic_1164.all;
55
use ieee.numeric_std.all;
56
library work;
57
use work.convert_pkg.all;
58
use work.wishbone_pkg.all;
59
use work.wishbone_bfm_pkg.all;
60
 
61
-- package -----------------------------------------------------------
62
package tb_pkg is
63
  ----------------------------------------------------------------------
64
  --  address definitions
65
  ----------------------------------------------------------------------
66
  -- ??? model registers
67
  constant stimuator_base_c                  : integer := 16#00000000#;
68
  constant stimulator_register0_c            : integer := stimuator_base_c + 16#0000_0000#;
69
  constant stimulator_register1_c            : integer := stimuator_base_c + 16#0000_0004#;
70
 
71
  -- ??? model registers
72
  constant verifier_base_c                   : integer := 16#10000000#;
73
  constant verifier_register0_c              : integer := verifier_base_c + 16#0000_0000#;
74
  constant verifier_register1_c              : integer := verifier_base_c + 16#0000_0004#;
75
  constant verifier_register2_c              : integer := verifier_base_c + 16#0000_0008#;
76
----------------------------------------------------------------------
77
end package;
78 4 sinx
----------------------------------------------------------------------
79
---- end of file                                                  ---- 
80
----------------------------------------------------------------------

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.