OpenCores
URL https://opencores.org/ocsvn/vhdl_wb_tb/vhdl_wb_tb/trunk

Subversion Repositories vhdl_wb_tb

[/] [vhdl_wb_tb/] [trunk/] [bench/] [vhdl/] [verifier.vhd] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 sinx
---------------------------------------------------------------------- 
2
----                                                              ---- 
3
----  VHDL Wishbone TESTBENCH                                     ---- 
4
----                                                              ---- 
5
----  This file is part of the vhdl_wb_tb project                 ---- 
6
----  http://www.opencores.org/cores/vhdl_wb_tb/                  ---- 
7
----                                                              ---- 
8
----  This file contains the top functional module of the design  ----
9
----  under test. The top functional module will be enclosed by   ----
10
----  the top module for synthesis or the tb_top for simulation.  ---- 
11
----  The top module can contain some synthesis specific code,    ----
12
----  where the tb_top contains simulation specific code.          ----
13
----                                                              ---- 
14
----  To Do:                                                      ---- 
15
----   -                                                          ---- 
16
----                                                              ---- 
17
----  Author(s):                                                  ---- 
18
----      - Sinx, email@opencores.org               ---- 
19
----                                                              ---- 
20
----------------------------------------------------------------------
21
--    SVN information
22
--
23
--      $URL:  $
24
-- $Revision:  $
25
--     $Date:  $
26
--   $Author:  $
27
--       $Id:  $
28
--
29
---------------------------------------------------------------------- 
30
----                                                              ---- 
31
---- Copyright (C) 2018 Authors and OPENCORES.ORG                 ---- 
32
----                                                              ---- 
33
---- This source file may be used and distributed without         ---- 
34
---- restriction provided that this copyright statement is not    ---- 
35
---- removed from the file and that any derivative work contains  ---- 
36
---- the original copyright notice and the associated disclaimer. ---- 
37
----                                                              ---- 
38
---- This source file is free software; you can redistribute it   ---- 
39
---- and/or modify it under the terms of the GNU Lesser General   ---- 
40
---- Public License as published by the Free Software Foundation; ---- 
41
---- either version 2.1 of the License, or (at your option) any   ---- 
42
---- later version.                                               ---- 
43
----                                                              ---- 
44
---- This source is distributed in the hope that it will be       ---- 
45
---- useful, but WITHOUT ANY WARRANTY; without even the implied   ---- 
46
---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ---- 
47
---- PURPOSE.  See the GNU Lesser General Public License for more ---- 
48
---- details.                                                     ---- 
49
----                                                              ---- 
50
---- You should have received a copy of the GNU Lesser General    ---- 
51
---- Public License along with this source; if not, download it   ---- 
52
---- from http://www.opencores.org/lgpl.shtml                     ---- 
53
----                                                              ---- 
54
----------------------------------------------------------------------
55
 
56
-- library -----------------------------------------------------------
57
library ieee;
58
use ieee.std_logic_1164.all;
59
use ieee.numeric_std.all;
60
library work;
61
use work.convert_pkg.all;
62
use work.wishbone_pkg.all;
63
use work.my_project_pkg.all;
64
use work.wishbone_bfm_pkg.all;
65
 
66
-- entity ------------------------------------------------------------
67
entity verifier is
68
  generic(
69
    g_number_of_signals             : natural := 1
70
    );
71
  port(
72
    wb_i                            : in wishbone_slave_in_t;
73
    wb_o                            : out wishbone_slave_out_t;
74
 
75
    signals_i                       : in std_logic_vector(g_number_of_signals-1 downto 0)
76
    );
77
end verifier;
78
 
79
--=architecture===============================================================
80
architecture rtl of verifier is
81
  --============================================================================
82
  -- signal declaration
83
  --============================================================================
84
  signal  s_register0                    : std_logic_vector(31 downto 0);
85
  signal  s_register1                    : std_logic_vector(31 downto 0);
86
  --============================================================================
87
begin
88
  ------------------------------------------------------------------------------
89
  wb_o.ack <= '1';
90
  wb_o.err <= '0';
91
  wb_o.rty <= '0';
92
  wb_o.int <= '0';
93
  wb_o.tgd <= (others => '0');
94
 
95
  -- read data multiplexer
96
  proc_read_data_mux : process (all)
97
    begin
98
      case wb_i.adr(27 downto 0) is
99
        when 28X"000_0000" =>
100
          wb_o.dat <= s_register0;
101
        when 28X"000_0004" =>
102
          wb_o.dat <= s_register1;
103
        when 28X"000_0008" =>
104
          wb_o.dat <= zero_c(wb_o.dat'left downto signals_i'left+1) & signals_i;
105
        when others =>
106
          wb_o.dat <= (others =>'U');
107
      end case;
108
    end process;
109
  ------------------------------------------------------------------------------
110
  -- write signals to control the verifier
111
  proc_avalon_write_data  : process (all)
112
    begin
113
      if (wb_i.rst = '1') then
114
        s_register0        <= (others => '0');
115
        s_register1        <= (others => '0');
116
      elsif (rising_edge(wb_i.clk)) then
117
        if (wb_i.we = '1' AND wb_i.stb = '1' AND wb_i.sel = X"F" AND wb_i.cyc = '1') then
118
          case wb_i.adr(27 downto 0) is
119
            when 28X"000_0000" =>
120
              s_register0        <= wb_i.dat;
121
            when 28X"000_0004" =>
122
              s_register1        <= wb_i.dat;
123
            when others =>
124
          end case;
125
        end if;
126
      end if;
127
    end process;
128
 
129
  ------------------------------------------------------------------------------
130
--============================================================================
131
end rtl; --verifier
132
--============================================================================
133
-- end of file
134
--============================================================================

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.