OpenCores
URL https://opencores.org/ocsvn/vhdl_wb_tb/vhdl_wb_tb/trunk

Subversion Repositories vhdl_wb_tb

[/] [vhdl_wb_tb/] [trunk/] [rtl/] [vhdl/] [core_top.vhd] - Blame information for rev 4

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 sinx
---------------------------------------------------------------------- 
2
----                                                              ---- 
3
----  VHDL Wishbone TESTBENCH                                     ---- 
4
----                                                              ---- 
5
----  This file is part of the vhdl_wb_tb project                 ---- 
6
----  http://www.opencores.org/cores/vhdl_wb_tb/                  ---- 
7
----                                                              ---- 
8
----  This file contains the top functional module of the design  ----
9
----  under test. The top functional module will be enclosed by   ----
10
----  the top module for synthesis or the tb_top for simulation.  ---- 
11
----  The top module can contain some synthesis specific code,    ----
12
----  where the tb_top contains simulation specific code.          ----
13
----                                                              ---- 
14
----  To Do:                                                      ---- 
15
----   -                                                          ---- 
16
----                                                              ---- 
17
----  Author(s):                                                  ---- 
18 4 sinx
----      - Sinx, sinx@opencores.org                              ---- 
19 2 sinx
----                                                              ---- 
20
---------------------------------------------------------------------- 
21 4 sinx
----    SVN information
22
----
23
----      $URL:  $
24
---- $Revision:  $
25
----     $Date:  $
26
----   $Author:  $
27
----       $Id:  $
28
---------------------------------------------------------------------- 
29 2 sinx
----                                                              ---- 
30
---- Copyright (C) 2018 Authors and OPENCORES.ORG                 ---- 
31
----                                                              ---- 
32
---- This source file may be used and distributed without         ---- 
33
---- restriction provided that this copyright statement is not    ---- 
34
---- removed from the file and that any derivative work contains  ---- 
35
---- the original copyright notice and the associated disclaimer. ---- 
36
----                                                              ---- 
37
---- This source file is free software; you can redistribute it   ---- 
38
---- and/or modify it under the terms of the GNU Lesser General   ---- 
39
---- Public License as published by the Free Software Foundation; ---- 
40
---- either version 2.1 of the License, or (at your option) any   ---- 
41
---- later version.                                               ---- 
42
----                                                              ---- 
43
---- This source is distributed in the hope that it will be       ---- 
44
---- useful, but WITHOUT ANY WARRANTY; without even the implied   ---- 
45
---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ---- 
46
---- PURPOSE.  See the GNU Lesser General Public License for more ---- 
47
---- details.                                                     ---- 
48
----                                                              ---- 
49
---- You should have received a copy of the GNU Lesser General    ---- 
50
---- Public License along with this source; if not, download it   ---- 
51
---- from http://www.opencores.org/lgpl.shtml                     ---- 
52
----                                                              ---- 
53
----------------------------------------------------------------------
54
 
55
-- library -----------------------------------------------------------
56
library ieee;
57
use ieee.std_logic_1164.all;
58
use ieee.numeric_std.all;
59
library work;
60
use work.convert_pkg.all;
61
use work.wishbone_pkg.all;
62
 
63
-- entity ------------------------------------------------------------
64
entity core_top is
65
  generic(
66
    g_number_of_in_signals          : natural := 1;
67
    g_number_of_out_signals         : natural := 1
68
    );
69
  port(
70
    clock_i                         : in std_logic;
71
    reset_i                         : in std_logic;
72
    signals_i                       : in std_logic_vector(g_number_of_in_signals-1 downto 0);
73
    signals_o                       : out std_logic_vector(g_number_of_out_signals-1 downto 0)
74
    );
75
end core_top;
76
 
77 4 sinx
-- architecture ------------------------------------------------------
78 2 sinx
architecture rtl of core_top is
79 4 sinx
  ------------------------------------------------------------------------------
80 2 sinx
  -- signal declaration
81 4 sinx
  ------------------------------------------------------------------------------
82 2 sinx
  signal    shift_register_r   : std_logic_vector (g_number_of_out_signals-1 downto 0);
83
  signal    old_shift_clock_r  : std_logic := '0';
84 4 sinx
  ------------------------------------------------------------------------------
85 2 sinx
begin
86
  ------------------------------------------------------------------------------
87
  -- module instantiation
88
  ------------------------------------------------------------------------------
89
  proc_shift_register : process (all)
90
    begin
91
      if (reset_i = '1' ) then
92
        shift_register_r <= (others => '0');
93
      elsif (rising_edge(clock_i)) then
94
        old_shift_clock_r <= signals_i(1);
95
        if (signals_i(1) = '1' AND old_shift_clock_r= '0') then
96
          shift_register_r        <= shift_register_r(shift_register_r'left-1 downto 0) & signals_i(0);
97
        end if;
98
      end if;
99
    end process;
100
  ------------------------------------------------------------------------------
101
  signals_o <= shift_register_r;
102
  ------------------------------------------------------------------------------
103 4 sinx
end rtl;
104
----------------------------------------------------------------------
105
---- end of file                                                  ---- 
106
----------------------------------------------------------------------

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.