OpenCores
URL https://opencores.org/ocsvn/vhdl_wb_tb/vhdl_wb_tb/trunk

Subversion Repositories vhdl_wb_tb

[/] [vhdl_wb_tb/] [trunk/] [rtl/] [vhdl/] [packages/] [my_project_pkg.vhd] - Blame information for rev 27

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 sinx
---------------------------------------------------------------------- 
2
----                                                              ---- 
3 4 sinx
----  VHDL Wishbone TESTBENCH                                     ---- 
4 2 sinx
----                                                              ---- 
5 4 sinx
----  This file is part of the vhdl_wb_tb project                 ---- 
6
----  http://www.opencores.org/cores/vhdl_wb_tb/                  ---- 
7 2 sinx
----                                                              ---- 
8 4 sinx
----  This file contains the project specific defines             ----
9 2 sinx
----                                                              ---- 
10
----  To Do:                                                      ---- 
11 4 sinx
----   -                                                          ---- 
12 2 sinx
----                                                              ---- 
13
----  Author(s):                                                  ---- 
14 4 sinx
----      - Sinx, sinx@opencores.org                              ---- 
15 2 sinx
----                                                              ---- 
16
---------------------------------------------------------------------- 
17 4 sinx
----    SVN information
18
----
19 14 sinx
----      $URL: file:///svn/vhdl_wb_tb/vhdl_wb_tb/trunk/rtl/vhdl/packages/my_project_pkg.vhd $
20
---- $Revision: 27 $
21
----     $Date: 2019-09-21 17:20:11 +0200 (Sat, 21 Sep 2019) $
22
----   $Author: sinx $
23
----       $Id: my_project_pkg.vhd 27 2019-09-21 15:20:11Z sinx $
24 4 sinx
---------------------------------------------------------------------- 
25 2 sinx
----                                                              ---- 
26
---- Copyright (C) 2018 Authors and OPENCORES.ORG                 ---- 
27
----                                                              ---- 
28
---- This source file may be used and distributed without         ---- 
29
---- restriction provided that this copyright statement is not    ---- 
30
---- removed from the file and that any derivative work contains  ---- 
31
---- the original copyright notice and the associated disclaimer. ---- 
32
----                                                              ---- 
33
---- This source file is free software; you can redistribute it   ---- 
34
---- and/or modify it under the terms of the GNU Lesser General   ---- 
35
---- Public License as published by the Free Software Foundation; ---- 
36
---- either version 2.1 of the License, or (at your option) any   ---- 
37
---- later version.                                               ---- 
38
----                                                              ---- 
39
---- This source is distributed in the hope that it will be       ---- 
40
---- useful, but WITHOUT ANY WARRANTY; without even the implied   ---- 
41
---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ---- 
42
---- PURPOSE.  See the GNU Lesser General Public License for more ---- 
43
---- details.                                                     ---- 
44
----                                                              ---- 
45
---- You should have received a copy of the GNU Lesser General    ---- 
46
---- Public License along with this source; if not, download it   ---- 
47
---- from http://www.opencores.org/lgpl.shtml                     ---- 
48
----                                                              ---- 
49
----------------------------------------------------------------------
50 4 sinx
-- library -----------------------------------------------------------
51 2 sinx
library ieee;
52
use ieee.std_logic_1164.all;
53
use ieee.numeric_std.all;
54
library work;
55
 
56 4 sinx
-- package -----------------------------------------------------------
57 2 sinx
package my_project_pkg is
58
 
59
  constant wishbone_address_width_c : integer := 32;
60 27 sinx
  constant wishbone_unused_address_c : std_logic_vector(wishbone_address_width_c-1 DOWNTO 0) := X"DEADDEAD"; -- "X" might lead to less resources. Meaningful value might ease debugging
61 2 sinx
  constant wishbone_data_width_c    : integer := 32;
62
  constant wishbone_data_of_unused_address_c : std_logic_vector(wishbone_data_width_c-1 DOWNTO 0) := X"DEADDEAD"; -- "X" might lead to less resources. Meaningful value might ease debugging
63
 
64 4 sinx
  constant exit_simulator_at_tc_end_c        : std_logic_vector := "0"; -- "1": exit simulator at end of tc_xxxx file. used for scripted simulation runs; 
65
                                                                        -- "0": just pause simulator at end of tc_xxx file. used for manual simulation runs.
66
 
67 2 sinx
  subtype wishbone_tag_data_t is std_logic_vector(1 downto 0);
68
  subtype wishbone_tag_address_t is std_logic_vector(1 downto 0);
69
  subtype wishbone_tag_cycle_t is std_logic_vector(1 downto 0);
70
 
71 5 sinx
  --type wishbone_interface_mode_t is (CLASSIC, PIPELINED);
72
  --type wishbone_address_granularity_t is (BYTE, WORD);
73 2 sinx
  constant zero_c : std_logic_vector(511 downto 0) := (others => '0');
74
end my_project_pkg;
75
 
76 4 sinx
-- package body ------------------------------------------------------
77 2 sinx
package body my_project_pkg is
78
end my_project_pkg;
79 4 sinx
----------------------------------------------------------------------
80
---- end of file                                                  ---- 
81
----------------------------------------------------------------------

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.