OpenCores
URL https://opencores.org/ocsvn/yifive/yifive/trunk

Subversion Repositories yifive

[/] [yifive/] [trunk/] [caravel_yifive/] [openlane/] [sdram/] [config.tcl] - Blame information for rev 23

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 23 dinesha
# Global
2
# ------
3
 
4
set script_dir [file dirname [file normalize [info script]]]
5
# Name
6
set ::env(DESIGN_NAME) sdrc_top
7
 
8
# This is macro
9
set ::env(DESIGN_IS_CORE) 0
10
 
11
# Diode insertion
12
        #  Spray
13
set ::env(DIODE_INSERTION_STRATEGY) 0
14
 
15
        # Smart-"ish"
16
#set ::env(DIODE_INSERTION_STRATEGY) 3
17
#set ::env(GLB_RT_MAX_DIODE_INS_ITERS) 10
18
 
19
# Timing configuration
20
set ::env(CLOCK_PERIOD) "10"
21
set ::env(CLOCK_PORT) "wb_clk_i"
22
 
23
 
24
# Sources
25
# -------
26
 
27
# Local sources + no2usb sources
28
set ::env(VERILOG_FILES) "\
29
         $script_dir/../../verilog/rtl/sdram_ctrl/src/top/sdrc_top.v \
30
         $script_dir/../../verilog/rtl/sdram_ctrl/src/wb2sdrc/wb2sdrc.v \
31
         $script_dir/../../verilog/rtl/lib/async_fifo.sv  \
32
         $script_dir/../../verilog/rtl/sdram_ctrl/src/core/sdrc_core.v \
33
         $script_dir/../../verilog/rtl/sdram_ctrl/src/core/sdrc_bank_ctl.v \
34
         $script_dir/../../verilog/rtl/sdram_ctrl/src/core/sdrc_bank_fsm.v \
35
         $script_dir/../../verilog/rtl/sdram_ctrl/src/core/sdrc_bs_convert.v\ 
36
         $script_dir/../../verilog/rtl/sdram_ctrl/src/core/sdrc_req_gen.v \
37
         $script_dir/../../verilog/rtl/sdram_ctrl/src/core/sdrc_xfr_ctl.v "
38
 
39
set ::env(VERILOG_INCLUDE_DIRS) [glob $script_dir/../../verilog/rtl/sdram_ctrl/src/defs ]
40
 
41
#set ::env(SYNTH_DEFINES) [list SCR1_DBG_EN ]
42
 
43
 
44
# Need blackbox for cells
45
set ::env(SYNTH_READ_BLACKBOX_LIB) 0
46
 
47
 
48
# Floorplanning
49
# -------------
50
 
51
# Fixed area and pin position
52
set ::env(FP_SIZING) "absolute"
53
#actual die area is 0 0 2920 3520, given 500 micron extra margin
54
set ::env(DIE_AREA) [list 0.0 0.0 1000.0 300.0]
55
set ::env(FP_PIN_ORDER_CFG) $::env(DESIGN_DIR)/pin_order.cfg
56
 
57
# Halo around the Macros
58
set ::env(FP_HORIZONTAL_HALO) 25
59
set ::env(FP_VERTICAL_HALO) 20
60
 
61
#set ::env(PDN_CFG) $::env(DESIGN_DIR)/pdn.tcl
62
 
63
 
64
 
65
# Placement
66
# ---------
67
 
68
set ::env(PL_TARGET_DENSITY) 0.40
69
 
70
#set ::env(MACRO_PLACEMENT_CFG) $::env(DESIGN_DIR)/macro_placement.cfg
71
 
72
 
73
# Routing
74
# -------
75
 
76
#| `ROUTING_CORES` | Specifies the number of threads to be used in TritonRoute. <br> (Default: `4`) |
77
set ::env(ROUTING_CORES) 4
78
 
79
#| `GLB_RT_ALLOW_CONGESTION` | Allow congestion in the resultign guides. 0 = false, 1 = true <br> (Default: `0`) |
80
set ::env(GLB_RT_ALLOW_CONGESTION) 0
81
 
82
# | `GLB_RT_MINLAYER` | The number of lowest layer to be used in routing. <br> (Default: `1`)|
83
set ::env(GLB_RT_MINLAYER) 1
84
 
85
# | `GLB_RT_MAXLAYER` | The number of highest layer to be used in routing. <br> (Default: `6`)|
86
set ::env(GLB_RT_MAXLAYER) 6
87
 
88
# Obstructions
89
    # li1 over the SRAM areas
90
        # met5 over the whole design
91
#set ::env(GLB_RT_OBS) "li1 0.00 22.68 1748.00 486.24, li1 0.00 851.08 1748.00 486.24, met5 0.0 0.0 1748.0 1360.0"
92
 
93
#| `ROUTING_OPT_ITERS` | Specifies the maximum number of optimization iterations during Detailed Routing in TritonRoute. <br> (Default: `64`) |
94
set ::env(ROUTING_OPT_ITERS) "64"
95
 
96
#| `GLOBAL_ROUTER` | Specifies which global router to use. Values: `fastroute` or `cugr`. <br> (Default: `fastroute`) |
97
set ::env(GLOBAL_ROUTER) "fastroute"
98
 
99
#| `DETAILED_ROUTER` | Specifies which detailed router to use. Values: `tritonroute`, `tritonroute_or`, or `drcu`. <br> (Default: `tritonroute`) |
100
set ::env(DETAILED_ROUTER) "tritonroute"
101
 
102
# DRC
103
# ---
104
 
105
 
106
set ::env(MAGIC_DRC_USE_GDS) 1
107
 
108
 
109
# Tape Out
110
# --------
111
 
112
set ::env(MAGIC_ZEROIZE_ORIGIN) 0
113
 
114
 
115
# Cell library specific config
116
# ----------------------------
117
 
118
set filename $::env(DESIGN_DIR)/$::env(PDK)_$::env(STD_CELL_LIBRARY)_config.tcl
119
if { [file exists $filename] == 1} {
120
        source $filename
121
}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.