OpenCores
URL https://opencores.org/ocsvn/z80soc/z80soc/trunk

Subversion Repositories z80soc

[/] [z80soc/] [trunk/] [V0.7.3/] [DE1/] [db/] [z80soc.map.qmsg] - Blame information for rev 46

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 46 rrred
{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" {  } {  } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1466372455215 ""}
2
{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 64-Bit " "Running Quartus II 64-Bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" {  } {  } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1466372455222 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Jun 19 14:40:54 2016 " "Processing started: Sun Jun 19 14:40:54 2016" {  } {  } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1466372455222 ""}  } {  } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1466372455222 ""}
3
{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off z80soc -c z80soc " "Command: quartus_map --read_settings_files=on --write_settings_files=off z80soc -c z80soc" {  } {  } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1466372455222 ""}
4
{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" {  } {  } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1466372458678 ""}
5
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "memorycores/vram.vhd 2 1 " "Found 2 design units, including 1 entities, in source file memorycores/vram.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 vram-SYN " "Found design unit 1: vram-SYN" {  } { { "memoryCores/vram.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/memoryCores/vram.vhd" 56 -1 0 } }  } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1466372461086 ""} { "Info" "ISGN_ENTITY_NAME" "1 vram " "Found entity 1: vram" {  } { { "memoryCores/vram.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/memoryCores/vram.vhd" 42 -1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1466372461086 ""}  } {  } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1466372461086 ""}
6
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "memorycores/charram.vhd 2 1 " "Found 2 design units, including 1 entities, in source file memorycores/charram.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 charram-SYN " "Found design unit 1: charram-SYN" {  } { { "memoryCores/charram.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/memoryCores/charram.vhd" 56 -1 0 } }  } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1466372461123 ""} { "Info" "ISGN_ENTITY_NAME" "1 charram " "Found entity 1: charram" {  } { { "memoryCores/charram.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/memoryCores/charram.vhd" 42 -1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1466372461123 ""}  } {  } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1466372461123 ""}
7
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "vhdl/lcd.vhd 2 1 " "Found 2 design units, including 1 entities, in source file vhdl/lcd.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 LCD-RTL " "Found design unit 1: LCD-RTL" {  } { { "vhdl/lcd.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/lcd.vhd" 39 -1 0 } }  } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1466372461163 ""} { "Info" "ISGN_ENTITY_NAME" "1 LCD " "Found entity 1: LCD" {  } { { "vhdl/lcd.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/lcd.vhd" 19 -1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1466372461163 ""}  } {  } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1466372461163 ""}
8
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "memorycores/rom.vhd 2 1 " "Found 2 design units, including 1 entities, in source file memorycores/rom.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 rom-SYN " "Found design unit 1: rom-SYN" {  } { { "memoryCores/rom.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/memoryCores/rom.vhd" 52 -1 0 } }  } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1466372461209 ""} { "Info" "ISGN_ENTITY_NAME" "1 rom " "Found entity 1: rom" {  } { { "memoryCores/rom.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/memoryCores/rom.vhd" 42 -1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1466372461209 ""}  } {  } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1466372461209 ""}
9
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "vhdl/keyboard.vhd 2 1 " "Found 2 design units, including 1 entities, in source file vhdl/keyboard.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 keyboard-a " "Found design unit 1: keyboard-a" {  } { { "vhdl/keyboard.VHD" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/keyboard.VHD" 22 -1 0 } }  } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1466372461240 ""} { "Info" "ISGN_ENTITY_NAME" "1 keyboard " "Found entity 1: keyboard" {  } { { "vhdl/keyboard.VHD" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/keyboard.VHD" 15 -1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1466372461240 ""}  } {  } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1466372461240 ""}
10
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "vhdl/ps2bkd.vhd 2 1 " "Found 2 design units, including 1 entities, in source file vhdl/ps2bkd.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 ps2kbd-rtl " "Found design unit 1: ps2kbd-rtl" {  } { { "vhdl/ps2bkd.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/ps2bkd.vhd" 25 -1 0 } }  } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1466372461275 ""} { "Info" "ISGN_ENTITY_NAME" "1 ps2kbd " "Found entity 1: ps2kbd" {  } { { "vhdl/ps2bkd.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/ps2bkd.vhd" 13 -1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1466372461275 ""}  } {  } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1466372461275 ""}
11
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "vhdl/t80.vhd 2 1 " "Found 2 design units, including 1 entities, in source file vhdl/t80.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 T80-rtl " "Found design unit 1: T80-rtl" {  } { { "vhdl/T80.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/T80.vhd" 125 -1 0 } }  } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1466372461330 ""} { "Info" "ISGN_ENTITY_NAME" "1 T80 " "Found entity 1: T80" {  } { { "vhdl/T80.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/T80.vhd" 85 -1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1466372461330 ""}  } {  } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1466372461330 ""}
12
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "vhdl/t80_alu.vhd 2 1 " "Found 2 design units, including 1 entities, in source file vhdl/t80_alu.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 T80_ALU-rtl " "Found design unit 1: T80_ALU-rtl" {  } { { "vhdl/T80_ALU.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/T80_ALU.vhd" 98 -1 0 } }  } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1466372461390 ""} { "Info" "ISGN_ENTITY_NAME" "1 T80_ALU " "Found entity 1: T80_ALU" {  } { { "vhdl/T80_ALU.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/T80_ALU.vhd" 72 -1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1466372461390 ""}  } {  } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1466372461390 ""}
13
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "vhdl/t80_mcode.vhd 2 1 " "Found 2 design units, including 1 entities, in source file vhdl/t80_mcode.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 T80_MCode-rtl " "Found design unit 1: T80_MCode-rtl" {  } { { "vhdl/T80_MCode.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/T80_MCode.vhd" 149 -1 0 } }  } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1466372461466 ""} { "Info" "ISGN_ENTITY_NAME" "1 T80_MCode " "Found entity 1: T80_MCode" {  } { { "vhdl/T80_MCode.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/T80_MCode.vhd" 80 -1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1466372461466 ""}  } {  } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1466372461466 ""}
14
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "vhdl/t80_pack.vhd 1 0 " "Found 1 design units, including 0 entities, in source file vhdl/t80_pack.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 T80_Pack " "Found design unit 1: T80_Pack" {  } { { "vhdl/T80_Pack.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/T80_Pack.vhd" 60 -1 0 } }  } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1466372461518 ""}  } {  } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1466372461518 ""}
15
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "vhdl/t80_reg.vhd 2 1 " "Found 2 design units, including 1 entities, in source file vhdl/t80_reg.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 T80_Reg-rtl " "Found design unit 1: T80_Reg-rtl" {  } { { "vhdl/T80_Reg.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/T80_Reg.vhd" 76 -1 0 } }  } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1466372461562 ""} { "Info" "ISGN_ENTITY_NAME" "1 T80_Reg " "Found entity 1: T80_Reg" {  } { { "vhdl/T80_Reg.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/T80_Reg.vhd" 56 -1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1466372461562 ""}  } {  } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1466372461562 ""}
16
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "vhdl/t80se.vhd 2 1 " "Found 2 design units, including 1 entities, in source file vhdl/t80se.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 T80se-rtl " "Found design unit 1: T80se-rtl" {  } { { "vhdl/T80se.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/T80se.vhd" 103 -1 0 } }  } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1466372461599 ""} { "Info" "ISGN_ENTITY_NAME" "1 T80se " "Found entity 1: T80se" {  } { { "vhdl/T80se.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/T80se.vhd" 75 -1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1466372461599 ""}  } {  } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1466372461599 ""}
17
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "vhdl/char_rom.vhd 2 1 " "Found 2 design units, including 1 entities, in source file vhdl/char_rom.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 Char_ROM-a " "Found design unit 1: Char_ROM-a" {  } { { "vhdl/char_rom.VHD" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/char_rom.VHD" 24 -1 0 } }  } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1466372461637 ""} { "Info" "ISGN_ENTITY_NAME" "1 Char_ROM " "Found entity 1: Char_ROM" {  } { { "vhdl/char_rom.VHD" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/char_rom.VHD" 17 -1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1466372461637 ""}  } {  } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1466372461637 ""}
18
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "vhdl/video.vhd 2 1 " "Found 2 design units, including 1 entities, in source file vhdl/video.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 video-A " "Found design unit 1: video-A" {  } { { "vhdl/video.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/video.vhd" 37 -1 0 } }  } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1466372461695 ""} { "Info" "ISGN_ENTITY_NAME" "1 video " "Found entity 1: video" {  } { { "vhdl/video.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/video.vhd" 21 -1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1466372461695 ""}  } {  } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1466372461695 ""}
19
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "vhdl/clk_div.vhd 2 1 " "Found 2 design units, including 1 entities, in source file vhdl/clk_div.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 clk_div-a " "Found design unit 1: clk_div-a" {  } { { "vhdl/clk_div.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/clk_div.vhd" 24 -1 0 } }  } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1466372461734 ""} { "Info" "ISGN_ENTITY_NAME" "1 clk_div " "Found entity 1: clk_div" {  } { { "vhdl/clk_div.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/clk_div.vhd" 6 -1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1466372461734 ""}  } {  } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1466372461734 ""}
20
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "vhdl/decoder_7seg.vhd 2 1 " "Found 2 design units, including 1 entities, in source file vhdl/decoder_7seg.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 decoder_7seg-rtl " "Found design unit 1: decoder_7seg-rtl" {  } { { "vhdl/decoder_7seg.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/decoder_7seg.vhd" 20 -1 0 } }  } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1466372461801 ""} { "Info" "ISGN_ENTITY_NAME" "1 decoder_7seg " "Found entity 1: decoder_7seg" {  } { { "vhdl/decoder_7seg.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/decoder_7seg.vhd" 12 -1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1466372461801 ""}  } {  } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1466372461801 ""}
21
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "vhdl/z80soc.vhd 2 1 " "Found 2 design units, including 1 entities, in source file vhdl/z80soc.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 Z80SOC-rtl " "Found design unit 1: Z80SOC-rtl" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 179 -1 0 } }  } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1466372461878 ""} { "Info" "ISGN_ENTITY_NAME" "1 Z80SOC " "Found entity 1: Z80SOC" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 81 -1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1466372461878 ""}  } {  } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1466372461878 ""}
22
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "vhdl/vga_sync.vhd 2 1 " "Found 2 design units, including 1 entities, in source file vhdl/vga_sync.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 VGA_SYNC-a " "Found design unit 1: VGA_SYNC-a" {  } { { "vhdl/vga_sync.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/vga_sync.vhd" 22 -1 0 } }  } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1466372461917 ""} { "Info" "ISGN_ENTITY_NAME" "1 VGA_SYNC " "Found entity 1: VGA_SYNC" {  } { { "vhdl/vga_sync.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/vga_sync.vhd" 14 -1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1466372461917 ""}  } {  } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1466372461917 ""}
23
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "vhdl/z80soc_pack.vhd 1 0 " "Found 1 design units, including 0 entities, in source file vhdl/z80soc_pack.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 z80soc_pack " "Found design unit 1: z80soc_pack" {  } { { "vhdl/z80soc_pack.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc_pack.vhd" 11 -1 0 } }  } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1466372461953 ""}  } {  } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1466372461953 ""}
24
{ "Info" "ISGN_START_ELABORATION_TOP" "z80soc " "Elaborating entity \"z80soc\" for the top level hierarchy" {  } {  } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1466372462793 ""}
25
{ "Warning" "WVRFX_VHDL_USED_IMPLICIT_DEFAULT_VALUE" "SD_DAT3 z80soc.vhd(140) " "VHDL Signal Declaration warning at z80soc.vhd(140): used implicit default value for signal \"SD_DAT3\" because signal was never assigned a value or an explicit default value. Use of implicit default value may introduce unintended design optimizations." {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 140 0 0 } }  } 0 10541 "VHDL Signal Declaration warning at %2!s!: used implicit default value for signal \"%1!s!\" because signal was never assigned a value or an explicit default value. Use of implicit default value may introduce unintended design optimizations." 0 0 "Quartus II" 0 -1 1466372462820 "|z80soc"}
26
{ "Warning" "WVRFX_VHDL_USED_IMPLICIT_DEFAULT_VALUE" "SD_CMD z80soc.vhd(141) " "VHDL Signal Declaration warning at z80soc.vhd(141): used implicit default value for signal \"SD_CMD\" because signal was never assigned a value or an explicit default value. Use of implicit default value may introduce unintended design optimizations." {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 141 0 0 } }  } 0 10541 "VHDL Signal Declaration warning at %2!s!: used implicit default value for signal \"%1!s!\" because signal was never assigned a value or an explicit default value. Use of implicit default value may introduce unintended design optimizations." 0 0 "Quartus II" 0 -1 1466372462820 "|z80soc"}
27
{ "Warning" "WVRFX_VHDL_USED_IMPLICIT_DEFAULT_VALUE" "SD_CLK z80soc.vhd(142) " "VHDL Signal Declaration warning at z80soc.vhd(142): used implicit default value for signal \"SD_CLK\" because signal was never assigned a value or an explicit default value. Use of implicit default value may introduce unintended design optimizations." {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 142 0 0 } }  } 0 10541 "VHDL Signal Declaration warning at %2!s!: used implicit default value for signal \"%1!s!\" because signal was never assigned a value or an explicit default value. Use of implicit default value may introduce unintended design optimizations." 0 0 "Quartus II" 0 -1 1466372462820 "|z80soc"}
28
{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "clk1mhz z80soc.vhd(310) " "Verilog HDL or VHDL warning at z80soc.vhd(310): object \"clk1mhz\" assigned a value but never read" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 310 0 0 } }  } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Quartus II" 0 -1 1466372462820 "|z80soc"}
29
{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "clk10hz z80soc.vhd(314) " "Verilog HDL or VHDL warning at z80soc.vhd(314): object \"clk10hz\" assigned a value but never read" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 314 0 0 } }  } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Quartus II" 0 -1 1466372462820 "|z80soc"}
30
{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "clk1hz z80soc.vhd(315) " "Verilog HDL or VHDL warning at z80soc.vhd(315): object \"clk1hz\" assigned a value but never read" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 315 0 0 } }  } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Quartus II" 0 -1 1466372462820 "|z80soc"}
31
{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "clk1khz z80soc.vhd(317) " "Verilog HDL or VHDL warning at z80soc.vhd(317): object \"clk1khz\" assigned a value but never read" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 317 0 0 } }  } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Quartus II" 0 -1 1466372462820 "|z80soc"}
32
{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "vram_web z80soc.vhd(336) " "Verilog HDL or VHDL warning at z80soc.vhd(336): object \"vram_web\" assigned a value but never read" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 336 0 0 } }  } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Quartus II" 0 -1 1466372462820 "|z80soc"}
33
{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "cram_web z80soc.vhd(347) " "Verilog HDL or VHDL warning at z80soc.vhd(347): object \"cram_web\" assigned a value but never read" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 347 0 0 } }  } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Quartus II" 0 -1 1466372462820 "|z80soc"}
34
{ "Warning" "WVRFX_VHDL_USED_EXPLICIT_DEFAULT_VALUE" "Z80SOC_Arch_reg z80soc.vhd(362) " "VHDL Signal Declaration warning at z80soc.vhd(362): used explicit default value for signal \"Z80SOC_Arch_reg\" because signal was never assigned a value" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 362 0 0 } }  } 0 10540 "VHDL Signal Declaration warning at %2!s!: used explicit default value for signal \"%1!s!\" because signal was never assigned a value" 0 0 "Quartus II" 0 -1 1466372462820 "|z80soc"}
35
{ "Warning" "WVRFX_VHDL_USED_EXPLICIT_DEFAULT_VALUE" "RAMTOP_reg z80soc.vhd(364) " "VHDL Signal Declaration warning at z80soc.vhd(364): used explicit default value for signal \"RAMTOP_reg\" because signal was never assigned a value" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 364 0 0 } }  } 0 10540 "VHDL Signal Declaration warning at %2!s!: used explicit default value for signal \"%1!s!\" because signal was never assigned a value" 0 0 "Quartus II" 0 -1 1466372462820 "|z80soc"}
36
{ "Warning" "WVRFX_VHDL_USED_EXPLICIT_DEFAULT_VALUE" "RAMBOTT_reg z80soc.vhd(365) " "VHDL Signal Declaration warning at z80soc.vhd(365): used explicit default value for signal \"RAMBOTT_reg\" because signal was never assigned a value" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 365 0 0 } }  } 0 10540 "VHDL Signal Declaration warning at %2!s!: used explicit default value for signal \"%1!s!\" because signal was never assigned a value" 0 0 "Quartus II" 0 -1 1466372462820 "|z80soc"}
37
{ "Warning" "WVRFX_VHDL_USED_EXPLICIT_DEFAULT_VALUE" "VRAM_reg z80soc.vhd(366) " "VHDL Signal Declaration warning at z80soc.vhd(366): used explicit default value for signal \"VRAM_reg\" because signal was never assigned a value" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 366 0 0 } }  } 0 10540 "VHDL Signal Declaration warning at %2!s!: used explicit default value for signal \"%1!s!\" because signal was never assigned a value" 0 0 "Quartus II" 0 -1 1466372462820 "|z80soc"}
38
{ "Warning" "WVRFX_VHDL_USED_EXPLICIT_DEFAULT_VALUE" "STACK_reg z80soc.vhd(367) " "VHDL Signal Declaration warning at z80soc.vhd(367): used explicit default value for signal \"STACK_reg\" because signal was never assigned a value" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 367 0 0 } }  } 0 10540 "VHDL Signal Declaration warning at %2!s!: used explicit default value for signal \"%1!s!\" because signal was never assigned a value" 0 0 "Quartus II" 0 -1 1466372462820 "|z80soc"}
39
{ "Warning" "WVRFX_VHDL_USED_EXPLICIT_DEFAULT_VALUE" "CHARRAM_reg z80soc.vhd(368) " "VHDL Signal Declaration warning at z80soc.vhd(368): used explicit default value for signal \"CHARRAM_reg\" because signal was never assigned a value" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 368 0 0 } }  } 0 10540 "VHDL Signal Declaration warning at %2!s!: used explicit default value for signal \"%1!s!\" because signal was never assigned a value" 0 0 "Quartus II" 0 -1 1466372462820 "|z80soc"}
40
{ "Warning" "WVRFX_VHDL_USED_EXPLICIT_DEFAULT_VALUE" "VIDCOLS_reg z80soc.vhd(369) " "VHDL Signal Declaration warning at z80soc.vhd(369): used explicit default value for signal \"VIDCOLS_reg\" because signal was never assigned a value" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 369 0 0 } }  } 0 10540 "VHDL Signal Declaration warning at %2!s!: used explicit default value for signal \"%1!s!\" because signal was never assigned a value" 0 0 "Quartus II" 0 -1 1466372462820 "|z80soc"}
41
{ "Warning" "WVRFX_VHDL_USED_EXPLICIT_DEFAULT_VALUE" "VIDROWS_reg z80soc.vhd(370) " "VHDL Signal Declaration warning at z80soc.vhd(370): used explicit default value for signal \"VIDROWS_reg\" because signal was never assigned a value" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 370 0 0 } }  } 0 10540 "VHDL Signal Declaration warning at %2!s!: used explicit default value for signal \"%1!s!\" because signal was never assigned a value" 0 0 "Quartus II" 0 -1 1466372462822 "|z80soc"}
42
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "T80se T80se:z80_inst " "Elaborating entity \"T80se\" for hierarchy \"T80se:z80_inst\"" {  } { { "vhdl/z80soc.vhd" "z80_inst" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 526 0 0 } }  } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372462852 ""}
43
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "T80 T80se:z80_inst\|T80:u0 " "Elaborating entity \"T80\" for hierarchy \"T80se:z80_inst\|T80:u0\"" {  } { { "vhdl/T80se.vhd" "u0" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/T80se.vhd" 115 0 0 } }  } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372462957 ""}
44
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "T80_MCode T80se:z80_inst\|T80:u0\|T80_MCode:mcode " "Elaborating entity \"T80_MCode\" for hierarchy \"T80se:z80_inst\|T80:u0\|T80_MCode:mcode\"" {  } { { "vhdl/T80.vhd" "mcode" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/T80.vhd" 255 0 0 } }  } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372463067 ""}
45
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "T80_ALU T80se:z80_inst\|T80:u0\|T80_ALU:alu " "Elaborating entity \"T80_ALU\" for hierarchy \"T80se:z80_inst\|T80:u0\|T80_ALU:alu\"" {  } { { "vhdl/T80.vhd" "alu" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/T80.vhd" 320 0 0 } }  } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372463152 ""}
46
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "T80_Reg T80se:z80_inst\|T80:u0\|T80_Reg:Regs " "Elaborating entity \"T80_Reg\" for hierarchy \"T80se:z80_inst\|T80:u0\|T80_Reg:Regs\"" {  } { { "vhdl/T80.vhd" "Regs" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/T80.vhd" 830 0 0 } }  } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372463196 ""}
47
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "video video:video_inst " "Elaborating entity \"video\" for hierarchy \"video:video_inst\"" {  } { { "vhdl/z80soc.vhd" "video_inst" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 548 0 0 } }  } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372463294 ""}
48
{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "video_on_sig video.vhd(54) " "Verilog HDL or VHDL warning at video.vhd(54): object \"video_on_sig\" assigned a value but never read" {  } { { "vhdl/video.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/video.vhd" 54 0 0 } }  } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Quartus II" 0 -1 1466372463315 "|z80soc|video:video_inst"}
49
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "VGA_SYNC video:video_inst\|VGA_SYNC:vga_sync_inst " "Elaborating entity \"VGA_SYNC\" for hierarchy \"video:video_inst\|VGA_SYNC:vga_sync_inst\"" {  } { { "vhdl/video.vhd" "vga_sync_inst" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/video.vhd" 95 0 0 } }  } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372463361 ""}
50
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "vram vram:vram_inst " "Elaborating entity \"vram\" for hierarchy \"vram:vram_inst\"" {  } { { "vhdl/z80soc.vhd" "vram_inst" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 565 0 0 } }  } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372463432 ""}
51
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram vram:vram_inst\|altsyncram:altsyncram_component " "Elaborating entity \"altsyncram\" for hierarchy \"vram:vram_inst\|altsyncram:altsyncram_component\"" {  } { { "memoryCores/vram.vhd" "altsyncram_component" { Text "F:/z80soc-local/hw/0.7.3/DE1/memoryCores/vram.vhd" 97 0 0 } }  } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372463808 ""}
52
{ "Info" "ISGN_ELABORATION_HEADER" "vram:vram_inst\|altsyncram:altsyncram_component " "Elaborated megafunction instantiation \"vram:vram_inst\|altsyncram:altsyncram_component\"" {  } { { "memoryCores/vram.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/memoryCores/vram.vhd" 97 0 0 } }  } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1466372463826 ""}
53
{ "Info" "ISGN_MEGAFN_PARAM_TOP" "vram:vram_inst\|altsyncram:altsyncram_component " "Instantiated megafunction \"vram:vram_inst\|altsyncram:altsyncram_component\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "address_reg_b CLOCK1 " "Parameter \"address_reg_b\" = \"CLOCK1\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372463831 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clock_enable_input_a BYPASS " "Parameter \"clock_enable_input_a\" = \"BYPASS\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372463831 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clock_enable_input_b BYPASS " "Parameter \"clock_enable_input_b\" = \"BYPASS\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372463831 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clock_enable_output_a BYPASS " "Parameter \"clock_enable_output_a\" = \"BYPASS\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372463831 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clock_enable_output_b BYPASS " "Parameter \"clock_enable_output_b\" = \"BYPASS\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372463831 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "intended_device_family Cyclone II " "Parameter \"intended_device_family\" = \"Cyclone II\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372463831 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_type altsyncram " "Parameter \"lpm_type\" = \"altsyncram\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372463831 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "numwords_a 6143 " "Parameter \"numwords_a\" = \"6143\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372463831 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "numwords_b 6143 " "Parameter \"numwords_b\" = \"6143\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372463831 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "operation_mode DUAL_PORT " "Parameter \"operation_mode\" = \"DUAL_PORT\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372463831 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "outdata_aclr_b NONE " "Parameter \"outdata_aclr_b\" = \"NONE\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372463831 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "outdata_reg_b UNREGISTERED " "Parameter \"outdata_reg_b\" = \"UNREGISTERED\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372463831 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "power_up_uninitialized FALSE " "Parameter \"power_up_uninitialized\" = \"FALSE\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372463831 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "widthad_a 13 " "Parameter \"widthad_a\" = \"13\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372463831 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "widthad_b 13 " "Parameter \"widthad_b\" = \"13\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372463831 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_a 8 " "Parameter \"width_a\" = \"8\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372463831 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_b 8 " "Parameter \"width_b\" = \"8\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372463831 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_byteena_a 1 " "Parameter \"width_byteena_a\" = \"1\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372463831 ""}  } { { "memoryCores/vram.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/memoryCores/vram.vhd" 97 0 0 } }  } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1466372463831 ""}
54
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/altsyncram_66l1.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/altsyncram_66l1.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 altsyncram_66l1 " "Found entity 1: altsyncram_66l1" {  } { { "db/altsyncram_66l1.tdf" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/db/altsyncram_66l1.tdf" 26 1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1466372464150 ""}  } {  } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1466372464150 ""}
55
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram_66l1 vram:vram_inst\|altsyncram:altsyncram_component\|altsyncram_66l1:auto_generated " "Elaborating entity \"altsyncram_66l1\" for hierarchy \"vram:vram_inst\|altsyncram:altsyncram_component\|altsyncram_66l1:auto_generated\"" {  } { { "altsyncram.tdf" "auto_generated" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/altsyncram.tdf" 791 4 0 } }  } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372464174 ""}
56
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/altsyncram_pal1.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/altsyncram_pal1.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 altsyncram_pal1 " "Found entity 1: altsyncram_pal1" {  } { { "db/altsyncram_pal1.tdf" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/db/altsyncram_pal1.tdf" 31 1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1466372464420 ""}  } {  } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1466372464420 ""}
57
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram_pal1 vram:vram_inst\|altsyncram:altsyncram_component\|altsyncram_66l1:auto_generated\|altsyncram_pal1:altsyncram1 " "Elaborating entity \"altsyncram_pal1\" for hierarchy \"vram:vram_inst\|altsyncram:altsyncram_component\|altsyncram_66l1:auto_generated\|altsyncram_pal1:altsyncram1\"" {  } { { "db/altsyncram_66l1.tdf" "altsyncram1" { Text "F:/z80soc-local/hw/0.7.3/DE1/db/altsyncram_66l1.tdf" 37 2 0 } }  } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372464442 ""}
58
{ "Warning" "WTDFX_UNREFERENCED_NODE" "clocken1 " "Variable or input pin \"clocken1\" is defined but never used." {  } { { "db/altsyncram_pal1.tdf" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/db/altsyncram_pal1.tdf" 37 2 0 } } { "db/altsyncram_66l1.tdf" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/db/altsyncram_66l1.tdf" 37 2 0 } } { "altsyncram.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/altsyncram.tdf" 791 4 0 } } { "memoryCores/vram.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/memoryCores/vram.vhd" 97 0 0 } } { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 563 0 0 } }  } 0 287013 "Variable or input pin \"%1!s!\" is defined but never used." 0 0 "Quartus II" 0 -1 1466372464458 "|z80soc|vram:vram_inst|altsyncram:altsyncram_component|altsyncram_66l1:auto_generated|altsyncram_pal1:altsyncram1"}
59
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/decode_1oa.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/decode_1oa.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 decode_1oa " "Found entity 1: decode_1oa" {  } { { "db/decode_1oa.tdf" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/db/decode_1oa.tdf" 22 1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1466372464691 ""}  } {  } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1466372464691 ""}
60
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "decode_1oa vram:vram_inst\|altsyncram:altsyncram_component\|altsyncram_66l1:auto_generated\|altsyncram_pal1:altsyncram1\|decode_1oa:decode3 " "Elaborating entity \"decode_1oa\" for hierarchy \"vram:vram_inst\|altsyncram:altsyncram_component\|altsyncram_66l1:auto_generated\|altsyncram_pal1:altsyncram1\|decode_1oa:decode3\"" {  } { { "db/altsyncram_pal1.tdf" "decode3" { Text "F:/z80soc-local/hw/0.7.3/DE1/db/altsyncram_pal1.tdf" 48 2 0 } }  } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372464708 ""}
61
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "decode_1oa vram:vram_inst\|altsyncram:altsyncram_component\|altsyncram_66l1:auto_generated\|altsyncram_pal1:altsyncram1\|decode_1oa:decode_a " "Elaborating entity \"decode_1oa\" for hierarchy \"vram:vram_inst\|altsyncram:altsyncram_component\|altsyncram_66l1:auto_generated\|altsyncram_pal1:altsyncram1\|decode_1oa:decode_a\"" {  } { { "db/altsyncram_pal1.tdf" "decode_a" { Text "F:/z80soc-local/hw/0.7.3/DE1/db/altsyncram_pal1.tdf" 50 2 0 } }  } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372464761 ""}
62
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/mux_hib.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/mux_hib.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 mux_hib " "Found entity 1: mux_hib" {  } { { "db/mux_hib.tdf" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/db/mux_hib.tdf" 22 1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1466372465043 ""}  } {  } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1466372465043 ""}
63
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "mux_hib vram:vram_inst\|altsyncram:altsyncram_component\|altsyncram_66l1:auto_generated\|altsyncram_pal1:altsyncram1\|mux_hib:mux5 " "Elaborating entity \"mux_hib\" for hierarchy \"vram:vram_inst\|altsyncram:altsyncram_component\|altsyncram_66l1:auto_generated\|altsyncram_pal1:altsyncram1\|mux_hib:mux5\"" {  } { { "db/altsyncram_pal1.tdf" "mux5" { Text "F:/z80soc-local/hw/0.7.3/DE1/db/altsyncram_pal1.tdf" 52 2 0 } }  } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372465059 ""}
64
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "charram charram:cram " "Elaborating entity \"charram\" for hierarchy \"charram:cram\"" {  } { { "vhdl/z80soc.vhd" "cram" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 576 0 0 } }  } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372465122 ""}
65
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram charram:cram\|altsyncram:altsyncram_component " "Elaborating entity \"altsyncram\" for hierarchy \"charram:cram\|altsyncram:altsyncram_component\"" {  } { { "memoryCores/charram.vhd" "altsyncram_component" { Text "F:/z80soc-local/hw/0.7.3/DE1/memoryCores/charram.vhd" 98 0 0 } }  } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372465323 ""}
66
{ "Info" "ISGN_ELABORATION_HEADER" "charram:cram\|altsyncram:altsyncram_component " "Elaborated megafunction instantiation \"charram:cram\|altsyncram:altsyncram_component\"" {  } { { "memoryCores/charram.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/memoryCores/charram.vhd" 98 0 0 } }  } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1466372465450 ""}
67
{ "Info" "ISGN_MEGAFN_PARAM_TOP" "charram:cram\|altsyncram:altsyncram_component " "Instantiated megafunction \"charram:cram\|altsyncram:altsyncram_component\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "address_reg_b CLOCK1 " "Parameter \"address_reg_b\" = \"CLOCK1\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372465457 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clock_enable_input_a BYPASS " "Parameter \"clock_enable_input_a\" = \"BYPASS\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372465457 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clock_enable_input_b BYPASS " "Parameter \"clock_enable_input_b\" = \"BYPASS\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372465457 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clock_enable_output_a BYPASS " "Parameter \"clock_enable_output_a\" = \"BYPASS\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372465457 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clock_enable_output_b BYPASS " "Parameter \"clock_enable_output_b\" = \"BYPASS\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372465457 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "init_file ../ROMdata/lat9-08.mif " "Parameter \"init_file\" = \"../ROMdata/lat9-08.mif\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372465457 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "intended_device_family Cyclone II " "Parameter \"intended_device_family\" = \"Cyclone II\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372465457 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_type altsyncram " "Parameter \"lpm_type\" = \"altsyncram\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372465457 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "numwords_a 2048 " "Parameter \"numwords_a\" = \"2048\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372465457 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "numwords_b 2048 " "Parameter \"numwords_b\" = \"2048\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372465457 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "operation_mode DUAL_PORT " "Parameter \"operation_mode\" = \"DUAL_PORT\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372465457 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "outdata_aclr_b NONE " "Parameter \"outdata_aclr_b\" = \"NONE\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372465457 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "outdata_reg_b UNREGISTERED " "Parameter \"outdata_reg_b\" = \"UNREGISTERED\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372465457 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "power_up_uninitialized FALSE " "Parameter \"power_up_uninitialized\" = \"FALSE\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372465457 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "widthad_a 11 " "Parameter \"widthad_a\" = \"11\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372465457 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "widthad_b 11 " "Parameter \"widthad_b\" = \"11\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372465457 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_a 8 " "Parameter \"width_a\" = \"8\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372465457 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_b 8 " "Parameter \"width_b\" = \"8\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372465457 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_byteena_a 1 " "Parameter \"width_byteena_a\" = \"1\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372465457 ""}  } { { "memoryCores/charram.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/memoryCores/charram.vhd" 98 0 0 } }  } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1466372465457 ""}
68
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/altsyncram_h1o1.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/altsyncram_h1o1.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 altsyncram_h1o1 " "Found entity 1: altsyncram_h1o1" {  } { { "db/altsyncram_h1o1.tdf" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/db/altsyncram_h1o1.tdf" 26 1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1466372465759 ""}  } {  } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1466372465759 ""}
69
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram_h1o1 charram:cram\|altsyncram:altsyncram_component\|altsyncram_h1o1:auto_generated " "Elaborating entity \"altsyncram_h1o1\" for hierarchy \"charram:cram\|altsyncram:altsyncram_component\|altsyncram_h1o1:auto_generated\"" {  } { { "altsyncram.tdf" "auto_generated" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/altsyncram.tdf" 791 4 0 } }  } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372465776 ""}
70
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/altsyncram_36o1.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/altsyncram_36o1.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 altsyncram_36o1 " "Found entity 1: altsyncram_36o1" {  } { { "db/altsyncram_36o1.tdf" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/db/altsyncram_36o1.tdf" 27 1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1466372466059 ""}  } {  } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1466372466059 ""}
71
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram_36o1 charram:cram\|altsyncram:altsyncram_component\|altsyncram_h1o1:auto_generated\|altsyncram_36o1:altsyncram1 " "Elaborating entity \"altsyncram_36o1\" for hierarchy \"charram:cram\|altsyncram:altsyncram_component\|altsyncram_h1o1:auto_generated\|altsyncram_36o1:altsyncram1\"" {  } { { "db/altsyncram_h1o1.tdf" "altsyncram1" { Text "F:/z80soc-local/hw/0.7.3/DE1/db/altsyncram_h1o1.tdf" 37 2 0 } }  } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372466076 ""}
72
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "rom rom:rom_inst " "Elaborating entity \"rom\" for hierarchy \"rom:rom_inst\"" {  } { { "vhdl/z80soc.vhd" "rom_inst" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 587 0 0 } }  } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372466368 ""}
73
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram rom:rom_inst\|altsyncram:altsyncram_component " "Elaborating entity \"altsyncram\" for hierarchy \"rom:rom_inst\|altsyncram:altsyncram_component\"" {  } { { "memoryCores/rom.vhd" "altsyncram_component" { Text "F:/z80soc-local/hw/0.7.3/DE1/memoryCores/rom.vhd" 85 0 0 } }  } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372466421 ""}
74
{ "Info" "ISGN_ELABORATION_HEADER" "rom:rom_inst\|altsyncram:altsyncram_component " "Elaborated megafunction instantiation \"rom:rom_inst\|altsyncram:altsyncram_component\"" {  } { { "memoryCores/rom.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/memoryCores/rom.vhd" 85 0 0 } }  } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1466372466460 ""}
75
{ "Info" "ISGN_MEGAFN_PARAM_TOP" "rom:rom_inst\|altsyncram:altsyncram_component " "Instantiated megafunction \"rom:rom_inst\|altsyncram:altsyncram_component\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "address_aclr_a NONE " "Parameter \"address_aclr_a\" = \"NONE\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372466460 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clock_enable_input_a BYPASS " "Parameter \"clock_enable_input_a\" = \"BYPASS\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372466460 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clock_enable_output_a BYPASS " "Parameter \"clock_enable_output_a\" = \"BYPASS\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372466460 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "init_file ../ROMdata/rom.hex " "Parameter \"init_file\" = \"../ROMdata/rom.hex\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372466460 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "intended_device_family Cyclone IV E " "Parameter \"intended_device_family\" = \"Cyclone IV E\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372466460 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_hint ENABLE_RUNTIME_MOD=NO " "Parameter \"lpm_hint\" = \"ENABLE_RUNTIME_MOD=NO\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372466460 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_type altsyncram " "Parameter \"lpm_type\" = \"altsyncram\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372466460 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "numwords_a 16384 " "Parameter \"numwords_a\" = \"16384\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372466460 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "operation_mode ROM " "Parameter \"operation_mode\" = \"ROM\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372466460 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "outdata_aclr_a NONE " "Parameter \"outdata_aclr_a\" = \"NONE\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372466460 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "outdata_reg_a CLOCK0 " "Parameter \"outdata_reg_a\" = \"CLOCK0\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372466460 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "widthad_a 14 " "Parameter \"widthad_a\" = \"14\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372466460 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_a 8 " "Parameter \"width_a\" = \"8\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372466460 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_byteena_a 1 " "Parameter \"width_byteena_a\" = \"1\"" {  } {  } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372466460 ""}  } { { "memoryCores/rom.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/memoryCores/rom.vhd" 85 0 0 } }  } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1466372466460 ""}
76
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/altsyncram_tr91.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/altsyncram_tr91.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 altsyncram_tr91 " "Found entity 1: altsyncram_tr91" {  } { { "db/altsyncram_tr91.tdf" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/db/altsyncram_tr91.tdf" 31 1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1466372466729 ""}  } {  } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1466372466729 ""}
77
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram_tr91 rom:rom_inst\|altsyncram:altsyncram_component\|altsyncram_tr91:auto_generated " "Elaborating entity \"altsyncram_tr91\" for hierarchy \"rom:rom_inst\|altsyncram:altsyncram_component\|altsyncram_tr91:auto_generated\"" {  } { { "altsyncram.tdf" "auto_generated" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/altsyncram.tdf" 791 4 0 } }  } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372466810 ""}
78
{ "Warning" "WMIO_MIO_BYTE_HEX_WORD_READ" "rom.hex " "Byte addressed memory initialization file \"rom.hex\" was read in the word-addressed format" {  } { { "F:/z80soc-local/hw/0.7.3/ROMdata/rom.hex" "" { Text "F:/z80soc-local/hw/0.7.3/ROMdata/rom.hex" 1 -1 0 } }  } 0 113007 "Byte addressed memory initialization file \"%1!s!\" was read in the word-addressed format" 0 0 "Quartus II" 0 -1 1466372466843 ""}
79
{ "Warning" "WMIO_MIO_HEX_DATA_WRAPPING_HEAD" "rom.hex 509 10 " "Width of data items in \"rom.hex\" is greater than the memory width. Wrapping data items to subsequent addresses. Found 509 warnings, reporting 10" { { "Warning" "WMIO_MIO_DATA_WRAPPING" "1 rom.hex " "Data at line (1) of memory initialization file \"rom.hex\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." {  } { { "F:/z80soc-local/hw/0.7.3/ROMdata/rom.hex" "" { Text "F:/z80soc-local/hw/0.7.3/ROMdata/rom.hex" 1 -1 0 } }  } 0 113009 "Data at line (%1!d!) of memory initialization file \"%2!s!\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." 0 0 "Quartus II" 0 -1 1466372466870 ""} { "Warning" "WMIO_MIO_DATA_WRAPPING" "2 rom.hex " "Data at line (2) of memory initialization file \"rom.hex\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." {  } { { "F:/z80soc-local/hw/0.7.3/ROMdata/rom.hex" "" { Text "F:/z80soc-local/hw/0.7.3/ROMdata/rom.hex" 2 -1 0 } }  } 0 113009 "Data at line (%1!d!) of memory initialization file \"%2!s!\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." 0 0 "Quartus II" 0 -1 1466372466870 ""} { "Warning" "WMIO_MIO_DATA_WRAPPING" "3 rom.hex " "Data at line (3) of memory initialization file \"rom.hex\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." {  } { { "F:/z80soc-local/hw/0.7.3/ROMdata/rom.hex" "" { Text "F:/z80soc-local/hw/0.7.3/ROMdata/rom.hex" 3 -1 0 } }  } 0 113009 "Data at line (%1!d!) of memory initialization file \"%2!s!\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." 0 0 "Quartus II" 0 -1 1466372466870 ""} { "Warning" "WMIO_MIO_DATA_WRAPPING" "4 rom.hex " "Data at line (4) of memory initialization file \"rom.hex\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." {  } { { "F:/z80soc-local/hw/0.7.3/ROMdata/rom.hex" "" { Text "F:/z80soc-local/hw/0.7.3/ROMdata/rom.hex" 4 -1 0 } }  } 0 113009 "Data at line (%1!d!) of memory initialization file \"%2!s!\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." 0 0 "Quartus II" 0 -1 1466372466870 ""} { "Warning" "WMIO_MIO_DATA_WRAPPING" "5 rom.hex " "Data at line (5) of memory initialization file \"rom.hex\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." {  } { { "F:/z80soc-local/hw/0.7.3/ROMdata/rom.hex" "" { Text "F:/z80soc-local/hw/0.7.3/ROMdata/rom.hex" 5 -1 0 } }  } 0 113009 "Data at line (%1!d!) of memory initialization file \"%2!s!\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." 0 0 "Quartus II" 0 -1 1466372466870 ""} { "Warning" "WMIO_MIO_DATA_WRAPPING" "6 rom.hex " "Data at line (6) of memory initialization file \"rom.hex\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." {  } { { "F:/z80soc-local/hw/0.7.3/ROMdata/rom.hex" "" { Text "F:/z80soc-local/hw/0.7.3/ROMdata/rom.hex" 6 -1 0 } }  } 0 113009 "Data at line (%1!d!) of memory initialization file \"%2!s!\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." 0 0 "Quartus II" 0 -1 1466372466870 ""} { "Warning" "WMIO_MIO_DATA_WRAPPING" "7 rom.hex " "Data at line (7) of memory initialization file \"rom.hex\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." {  } { { "F:/z80soc-local/hw/0.7.3/ROMdata/rom.hex" "" { Text "F:/z80soc-local/hw/0.7.3/ROMdata/rom.hex" 7 -1 0 } }  } 0 113009 "Data at line (%1!d!) of memory initialization file \"%2!s!\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." 0 0 "Quartus II" 0 -1 1466372466870 ""} { "Warning" "WMIO_MIO_DATA_WRAPPING" "8 rom.hex " "Data at line (8) of memory initialization file \"rom.hex\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." {  } { { "F:/z80soc-local/hw/0.7.3/ROMdata/rom.hex" "" { Text "F:/z80soc-local/hw/0.7.3/ROMdata/rom.hex" 8 -1 0 } }  } 0 113009 "Data at line (%1!d!) of memory initialization file \"%2!s!\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." 0 0 "Quartus II" 0 -1 1466372466870 ""} { "Warning" "WMIO_MIO_DATA_WRAPPING" "9 rom.hex " "Data at line (9) of memory initialization file \"rom.hex\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." {  } { { "F:/z80soc-local/hw/0.7.3/ROMdata/rom.hex" "" { Text "F:/z80soc-local/hw/0.7.3/ROMdata/rom.hex" 9 -1 0 } }  } 0 113009 "Data at line (%1!d!) of memory initialization file \"%2!s!\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." 0 0 "Quartus II" 0 -1 1466372466870 ""} { "Warning" "WMIO_MIO_DATA_WRAPPING" "10 rom.hex " "Data at line (10) of memory initialization file \"rom.hex\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." {  } { { "F:/z80soc-local/hw/0.7.3/ROMdata/rom.hex" "" { Text "F:/z80soc-local/hw/0.7.3/ROMdata/rom.hex" 10 -1 0 } }  } 0 113009 "Data at line (%1!d!) of memory initialization file \"%2!s!\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." 0 0 "Quartus II" 0 -1 1466372466870 ""}  } { { "F:/z80soc-local/hw/0.7.3/ROMdata/rom.hex" "" { Text "F:/z80soc-local/hw/0.7.3/ROMdata/rom.hex" 1 -1 0 } }  } 0 113015 "Width of data items in \"%1!s!\" is greater than the memory width. Wrapping data items to subsequent addresses. Found %2!u! warnings, reporting %3!u!" 0 0 "Quartus II" 0 -1 1466372466870 ""}
80
{ "Critical Warning" "WCDB_CDB_LESS_INI_CONTENT" "16384 8364 F:/z80soc-local/hw/0.7.3/ROMdata/rom.hex " "Memory depth (16384) in the design file differs from memory depth (8364) in the Memory Initialization File \"F:/z80soc-local/hw/0.7.3/ROMdata/rom.hex\" -- setting initial value for remaining addresses to 0" {  } { { "memoryCores/rom.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/memoryCores/rom.vhd" 85 0 0 } }  } 1 127005 "Memory depth (%1!d!) in the design file differs from memory depth (%2!d!) in the Memory Initialization File \"%3!s!\" -- setting initial value for remaining addresses to 0" 0 0 "Quartus II" 0 -1 1466372466884 ""}
81
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/decode_4oa.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/decode_4oa.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 decode_4oa " "Found entity 1: decode_4oa" {  } { { "db/decode_4oa.tdf" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/db/decode_4oa.tdf" 22 1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1466372467501 ""}  } {  } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1466372467501 ""}
82
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "decode_4oa rom:rom_inst\|altsyncram:altsyncram_component\|altsyncram_tr91:auto_generated\|decode_4oa:deep_decode " "Elaborating entity \"decode_4oa\" for hierarchy \"rom:rom_inst\|altsyncram:altsyncram_component\|altsyncram_tr91:auto_generated\|decode_4oa:deep_decode\"" {  } { { "db/altsyncram_tr91.tdf" "deep_decode" { Text "F:/z80soc-local/hw/0.7.3/DE1/db/altsyncram_tr91.tdf" 40 2 0 } }  } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372467520 ""}
83
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/mux_kib.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/mux_kib.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 mux_kib " "Found entity 1: mux_kib" {  } { { "db/mux_kib.tdf" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/db/mux_kib.tdf" 22 1 0 } }  } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1466372467777 ""}  } {  } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1466372467777 ""}
84
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "mux_kib rom:rom_inst\|altsyncram:altsyncram_component\|altsyncram_tr91:auto_generated\|mux_kib:mux2 " "Elaborating entity \"mux_kib\" for hierarchy \"rom:rom_inst\|altsyncram:altsyncram_component\|altsyncram_tr91:auto_generated\|mux_kib:mux2\"" {  } { { "db/altsyncram_tr91.tdf" "mux2" { Text "F:/z80soc-local/hw/0.7.3/DE1/db/altsyncram_tr91.tdf" 41 2 0 } }  } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372467791 ""}
85
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "clk_div clk_div:clkdiv_inst " "Elaborating entity \"clk_div\" for hierarchy \"clk_div:clkdiv_inst\"" {  } { { "vhdl/z80soc.vhd" "clkdiv_inst" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 594 0 0 } }  } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372468046 ""}
86
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "decoder_7seg decoder_7seg:DISPHEX0 " "Elaborating entity \"decoder_7seg\" for hierarchy \"decoder_7seg:DISPHEX0\"" {  } { { "vhdl/z80soc.vhd" "DISPHEX0" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 609 0 0 } }  } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372468076 ""}
87
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "ps2kbd ps2kbd:ps2_kbd_inst " "Elaborating entity \"ps2kbd\" for hierarchy \"ps2kbd:ps2_kbd_inst\"" {  } { { "vhdl/z80soc.vhd" "ps2_kbd_inst" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 633 0 0 } }  } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372468169 ""}
88
{ "Warning" "WVRFX_VHDL_SHOULD_BE_ON_THE_PROCESSES_SENSITIVITY_LIST" "caps ps2bkd.vhd(58) " "VHDL Process Statement warning at ps2bkd.vhd(58): signal \"caps\" is read inside the Process Statement but isn't in the Process Statement's sensitivity list" {  } { { "vhdl/ps2bkd.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/ps2bkd.vhd" 58 0 0 } }  } 0 10492 "VHDL Process Statement warning at %2!s!: signal \"%1!s!\" is read inside the Process Statement but isn't in the Process Statement's sensitivity list" 0 0 "Quartus II" 0 -1 1466372468190 "|z80soc|ps2kbd:ps2_kbd_inst"}
89
{ "Warning" "WVRFX_VHDL_SHOULD_BE_ON_THE_PROCESSES_SENSITIVITY_LIST" "scan_code_sig ps2bkd.vhd(58) " "VHDL Process Statement warning at ps2bkd.vhd(58): signal \"scan_code_sig\" is read inside the Process Statement but isn't in the Process Statement's sensitivity list" {  } { { "vhdl/ps2bkd.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/ps2bkd.vhd" 58 0 0 } }  } 0 10492 "VHDL Process Statement warning at %2!s!: signal \"%1!s!\" is read inside the Process Statement but isn't in the Process Statement's sensitivity list" 0 0 "Quartus II" 0 -1 1466372468190 "|z80soc|ps2kbd:ps2_kbd_inst"}
90
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "keyboard ps2kbd:ps2_kbd_inst\|keyboard:kbd_inst " "Elaborating entity \"keyboard\" for hierarchy \"ps2kbd:ps2_kbd_inst\|keyboard:kbd_inst\"" {  } { { "vhdl/ps2bkd.vhd" "kbd_inst" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/ps2bkd.vhd" 170 0 0 } }  } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372468254 ""}
91
{ "Warning" "WOPT_OPT_PROTECT_A_CLOCK_MUX" "" "Clock multiplexers are found and protected" { { "Warning" "WOPT_OPT_PROTECT_A_CLOCK_MUX_SUB" "Clk_Z80 " "Found clock multiplexer Clk_Z80" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 297 -1 0 } }  } 0 19017 "Found clock multiplexer %1!s!" 0 0 "Quartus II" 0 -1 1466372469848 "|Z80SOC|Clk_Z80"}  } {  } 0 19016 "Clock multiplexers are found and protected" 0 0 "Quartus II" 0 -1 1466372469848 ""}
92
{ "Warning" "WMLS_MLS_CONVERT_TRI_TO_OR_HDR" "" "Tri-state node(s) do not directly drive top-level pin(s)" { { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "DI_CPU\[0\] " "Converted tri-state buffer \"DI_CPU\[0\]\" feeding internal logic into a wire" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 298 -1 0 } }  } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1466372470179 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "DI_CPU\[1\] " "Converted tri-state buffer \"DI_CPU\[1\]\" feeding internal logic into a wire" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 298 -1 0 } }  } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1466372470179 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "DI_CPU\[2\] " "Converted tri-state buffer \"DI_CPU\[2\]\" feeding internal logic into a wire" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 298 -1 0 } }  } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1466372470179 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "DI_CPU\[3\] " "Converted tri-state buffer \"DI_CPU\[3\]\" feeding internal logic into a wire" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 298 -1 0 } }  } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1466372470179 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "DI_CPU\[4\] " "Converted tri-state buffer \"DI_CPU\[4\]\" feeding internal logic into a wire" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 298 -1 0 } }  } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1466372470179 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "DI_CPU\[5\] " "Converted tri-state buffer \"DI_CPU\[5\]\" feeding internal logic into a wire" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 298 -1 0 } }  } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1466372470179 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "DI_CPU\[6\] " "Converted tri-state buffer \"DI_CPU\[6\]\" feeding internal logic into a wire" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 298 -1 0 } }  } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1466372470179 ""} { "Warning" "WMLS_MLS_CONVERT_TRI_TO_WIRE" "DI_CPU\[7\] " "Converted tri-state buffer \"DI_CPU\[7\]\" feeding internal logic into a wire" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 298 -1 0 } }  } 0 13049 "Converted tri-state buffer \"%1!s!\" feeding internal logic into a wire" 0 0 "Quartus II" 0 -1 1466372470179 ""}  } {  } 0 13046 "Tri-state node(s) do not directly drive top-level pin(s)" 0 0 "Quartus II" 0 -1 1466372470179 ""}
93
{ "Warning" "WSGN_INVALID_MEMBER_ASSIGNMENT" "CLOCK_27 0 " "Ignored assignment(s) for \"CLOCK_27\[0\]\" because \"CLOCK_27\" is not a bus or array" {  } { { "vhdl/z80soc.vhd" "CLOCK_27" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 84 -1 0 } }  } 0 12069 "Ignored assignment(s) for \"%1!s!\[%2!d!\]\" because \"%1!s!\" is not a bus or array" 0 0 "Quartus II" 0 -1 1466372486923 ""}
94
{ "Warning" "WSGN_INVALID_MEMBER_ASSIGNMENT" "CLOCK_27 1 " "Ignored assignment(s) for \"CLOCK_27\[1\]\" because \"CLOCK_27\" is not a bus or array" {  } { { "vhdl/z80soc.vhd" "CLOCK_27" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 84 -1 0 } }  } 0 12069 "Ignored assignment(s) for \"%1!s!\[%2!d!\]\" because \"%1!s!\" is not a bus or array" 0 0 "Quartus II" 0 -1 1466372486925 ""}
95
{ "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI_HDR" "" "The following bidir pins have no drivers" { { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "PS2_DAT " "Bidir \"PS2_DAT\" has no driver" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 155 -1 0 } }  } 0 13040 "Bidir \"%1!s!\" has no driver" 0 0 "Quartus II" 0 -1 1466372487963 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_DISABLED_TRI" "PS2_CLK " "Bidir \"PS2_CLK\" has no driver" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 156 -1 0 } }  } 0 13040 "Bidir \"%1!s!\" has no driver" 0 0 "Quartus II" 0 -1 1466372487963 ""}  } {  } 0 13039 "The following bidir pins have no drivers" 0 0 "Quartus II" 0 -1 1466372487963 ""}
96
{ "Warning" "WMLS_OPT_REMOVED_FANIN_FROM_ALWAYS_DISABLED_IO_BUF_TO_TRI_BUS_HDR" "" "Removed fan-outs from the following always-disabled I/O buffers" { { "Warning" "WMLS_OPT_REMOVED_FANIN_FROM_ALWAYS_DISABLED_IO_BUF_TO_TRI_BUS" "SRAM_DQ\[8\] SRAM_DQ\[8\] " "Removed fan-out from the always-disabled I/O buffer \"SRAM_DQ\[8\]\" to the node \"SRAM_DQ\[8\]\"" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 130 -1 0 } }  } 0 13028 "Removed fan-out from the always-disabled I/O buffer \"%1!s!\" to the node \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372488095 ""} { "Warning" "WMLS_OPT_REMOVED_FANIN_FROM_ALWAYS_DISABLED_IO_BUF_TO_TRI_BUS" "SRAM_DQ\[9\] SRAM_DQ\[9\] " "Removed fan-out from the always-disabled I/O buffer \"SRAM_DQ\[9\]\" to the node \"SRAM_DQ\[9\]\"" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 130 -1 0 } }  } 0 13028 "Removed fan-out from the always-disabled I/O buffer \"%1!s!\" to the node \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372488095 ""} { "Warning" "WMLS_OPT_REMOVED_FANIN_FROM_ALWAYS_DISABLED_IO_BUF_TO_TRI_BUS" "SRAM_DQ\[10\] SRAM_DQ\[10\] " "Removed fan-out from the always-disabled I/O buffer \"SRAM_DQ\[10\]\" to the node \"SRAM_DQ\[10\]\"" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 130 -1 0 } }  } 0 13028 "Removed fan-out from the always-disabled I/O buffer \"%1!s!\" to the node \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372488095 ""} { "Warning" "WMLS_OPT_REMOVED_FANIN_FROM_ALWAYS_DISABLED_IO_BUF_TO_TRI_BUS" "SRAM_DQ\[11\] SRAM_DQ\[11\] " "Removed fan-out from the always-disabled I/O buffer \"SRAM_DQ\[11\]\" to the node \"SRAM_DQ\[11\]\"" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 130 -1 0 } }  } 0 13028 "Removed fan-out from the always-disabled I/O buffer \"%1!s!\" to the node \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372488095 ""} { "Warning" "WMLS_OPT_REMOVED_FANIN_FROM_ALWAYS_DISABLED_IO_BUF_TO_TRI_BUS" "SRAM_DQ\[12\] SRAM_DQ\[12\] " "Removed fan-out from the always-disabled I/O buffer \"SRAM_DQ\[12\]\" to the node \"SRAM_DQ\[12\]\"" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 130 -1 0 } }  } 0 13028 "Removed fan-out from the always-disabled I/O buffer \"%1!s!\" to the node \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372488095 ""} { "Warning" "WMLS_OPT_REMOVED_FANIN_FROM_ALWAYS_DISABLED_IO_BUF_TO_TRI_BUS" "SRAM_DQ\[13\] SRAM_DQ\[13\] " "Removed fan-out from the always-disabled I/O buffer \"SRAM_DQ\[13\]\" to the node \"SRAM_DQ\[13\]\"" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 130 -1 0 } }  } 0 13028 "Removed fan-out from the always-disabled I/O buffer \"%1!s!\" to the node \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372488095 ""} { "Warning" "WMLS_OPT_REMOVED_FANIN_FROM_ALWAYS_DISABLED_IO_BUF_TO_TRI_BUS" "SRAM_DQ\[14\] SRAM_DQ\[14\] " "Removed fan-out from the always-disabled I/O buffer \"SRAM_DQ\[14\]\" to the node \"SRAM_DQ\[14\]\"" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 130 -1 0 } }  } 0 13028 "Removed fan-out from the always-disabled I/O buffer \"%1!s!\" to the node \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372488095 ""} { "Warning" "WMLS_OPT_REMOVED_FANIN_FROM_ALWAYS_DISABLED_IO_BUF_TO_TRI_BUS" "SRAM_DQ\[15\] SRAM_DQ\[15\] " "Removed fan-out from the always-disabled I/O buffer \"SRAM_DQ\[15\]\" to the node \"SRAM_DQ\[15\]\"" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 130 -1 0 } }  } 0 13028 "Removed fan-out from the always-disabled I/O buffer \"%1!s!\" to the node \"%2!s!\"" 0 0 "Quartus II" 0 -1 1466372488095 ""}  } {  } 0 13027 "Removed fan-outs from the following always-disabled I/O buffers" 0 0 "Quartus II" 0 -1 1466372488095 ""}
97
{ "Info" "IMLS_MLS_PRESET_POWER_UP" "" "Registers with preset signals will power-up high" {  } { { "vhdl/T80se.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/T80se.vhd" 93 -1 0 } } { "vhdl/T80se.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/T80se.vhd" 90 -1 0 } } { "vhdl/T80se.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/T80se.vhd" 92 -1 0 } } { "vhdl/T80se.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/T80se.vhd" 91 -1 0 } } { "vhdl/T80.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/T80.vhd" 974 -1 0 } } { "vhdl/T80.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/T80.vhd" 358 -1 0 } }  } 0 13000 "Registers with preset signals will power-up high" 0 0 "Quartus II" 0 -1 1466372488316 ""}
98
{ "Info" "IMLS_MLS_DEV_CLRN_SETS_REGISTERS" "" "DEV_CLRn pin will set, and not reset, register with preset signal due to NOT Gate Push-Back" {  } {  } 0 13003 "DEV_CLRn pin will set, and not reset, register with preset signal due to NOT Gate Push-Back" 0 0 "Quartus II" 0 -1 1466372488319 ""}
99
{ "Warning" "WMLS_MLS_STUCK_PIN_HDR" "" "Output pins are stuck at VCC or GND" { { "Warning" "WMLS_MLS_STUCK_PIN" "DRAM_ADDR\[0\] GND " "Pin \"DRAM_ADDR\[0\]\" is stuck at GND" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 109 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1466372499749 "|Z80SOC|DRAM_ADDR[0]"} { "Warning" "WMLS_MLS_STUCK_PIN" "DRAM_ADDR\[1\] GND " "Pin \"DRAM_ADDR\[1\]\" is stuck at GND" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 109 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1466372499749 "|Z80SOC|DRAM_ADDR[1]"} { "Warning" "WMLS_MLS_STUCK_PIN" "DRAM_ADDR\[2\] GND " "Pin \"DRAM_ADDR\[2\]\" is stuck at GND" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 109 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1466372499749 "|Z80SOC|DRAM_ADDR[2]"} { "Warning" "WMLS_MLS_STUCK_PIN" "DRAM_ADDR\[3\] GND " "Pin \"DRAM_ADDR\[3\]\" is stuck at GND" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 109 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1466372499749 "|Z80SOC|DRAM_ADDR[3]"} { "Warning" "WMLS_MLS_STUCK_PIN" "DRAM_ADDR\[4\] GND " "Pin \"DRAM_ADDR\[4\]\" is stuck at GND" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 109 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1466372499749 "|Z80SOC|DRAM_ADDR[4]"} { "Warning" "WMLS_MLS_STUCK_PIN" "DRAM_ADDR\[5\] GND " "Pin \"DRAM_ADDR\[5\]\" is stuck at GND" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 109 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1466372499749 "|Z80SOC|DRAM_ADDR[5]"} { "Warning" "WMLS_MLS_STUCK_PIN" "DRAM_ADDR\[6\] GND " "Pin \"DRAM_ADDR\[6\]\" is stuck at GND" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 109 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1466372499749 "|Z80SOC|DRAM_ADDR[6]"} { "Warning" "WMLS_MLS_STUCK_PIN" "DRAM_ADDR\[7\] GND " "Pin \"DRAM_ADDR\[7\]\" is stuck at GND" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 109 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1466372499749 "|Z80SOC|DRAM_ADDR[7]"} { "Warning" "WMLS_MLS_STUCK_PIN" "DRAM_ADDR\[8\] GND " "Pin \"DRAM_ADDR\[8\]\" is stuck at GND" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 109 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1466372499749 "|Z80SOC|DRAM_ADDR[8]"} { "Warning" "WMLS_MLS_STUCK_PIN" "DRAM_ADDR\[9\] GND " "Pin \"DRAM_ADDR\[9\]\" is stuck at GND" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 109 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1466372499749 "|Z80SOC|DRAM_ADDR[9]"} { "Warning" "WMLS_MLS_STUCK_PIN" "DRAM_ADDR\[10\] GND " "Pin \"DRAM_ADDR\[10\]\" is stuck at GND" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 109 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1466372499749 "|Z80SOC|DRAM_ADDR[10]"} { "Warning" "WMLS_MLS_STUCK_PIN" "DRAM_ADDR\[11\] GND " "Pin \"DRAM_ADDR\[11\]\" is stuck at GND" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 109 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1466372499749 "|Z80SOC|DRAM_ADDR[11]"} { "Warning" "WMLS_MLS_STUCK_PIN" "DRAM_LDQM GND " "Pin \"DRAM_LDQM\" is stuck at GND" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 110 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1466372499749 "|Z80SOC|DRAM_LDQM"} { "Warning" "WMLS_MLS_STUCK_PIN" "DRAM_UDQM GND " "Pin \"DRAM_UDQM\" is stuck at GND" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 111 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1466372499749 "|Z80SOC|DRAM_UDQM"} { "Warning" "WMLS_MLS_STUCK_PIN" "DRAM_WE_N VCC " "Pin \"DRAM_WE_N\" is stuck at VCC" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 112 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1466372499749 "|Z80SOC|DRAM_WE_N"} { "Warning" "WMLS_MLS_STUCK_PIN" "DRAM_CAS_N VCC " "Pin \"DRAM_CAS_N\" is stuck at VCC" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 113 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1466372499749 "|Z80SOC|DRAM_CAS_N"} { "Warning" "WMLS_MLS_STUCK_PIN" "DRAM_RAS_N VCC " "Pin \"DRAM_RAS_N\" is stuck at VCC" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 114 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1466372499749 "|Z80SOC|DRAM_RAS_N"} { "Warning" "WMLS_MLS_STUCK_PIN" "DRAM_CS_N VCC " "Pin \"DRAM_CS_N\" is stuck at VCC" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 115 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1466372499749 "|Z80SOC|DRAM_CS_N"} { "Warning" "WMLS_MLS_STUCK_PIN" "DRAM_BA_0 GND " "Pin \"DRAM_BA_0\" is stuck at GND" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 116 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1466372499749 "|Z80SOC|DRAM_BA_0"} { "Warning" "WMLS_MLS_STUCK_PIN" "DRAM_BA_1 GND " "Pin \"DRAM_BA_1\" is stuck at GND" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 117 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1466372499749 "|Z80SOC|DRAM_BA_1"} { "Warning" "WMLS_MLS_STUCK_PIN" "DRAM_CLK GND " "Pin \"DRAM_CLK\" is stuck at GND" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 118 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1466372499749 "|Z80SOC|DRAM_CLK"} { "Warning" "WMLS_MLS_STUCK_PIN" "DRAM_CKE GND " "Pin \"DRAM_CKE\" is stuck at GND" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 119 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1466372499749 "|Z80SOC|DRAM_CKE"} { "Warning" "WMLS_MLS_STUCK_PIN" "FL_ADDR\[0\] GND " "Pin \"FL_ADDR\[0\]\" is stuck at GND" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 123 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1466372499749 "|Z80SOC|FL_ADDR[0]"} { "Warning" "WMLS_MLS_STUCK_PIN" "FL_ADDR\[1\] GND " "Pin \"FL_ADDR\[1\]\" is stuck at GND" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 123 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1466372499749 "|Z80SOC|FL_ADDR[1]"} { "Warning" "WMLS_MLS_STUCK_PIN" "FL_ADDR\[2\] GND " "Pin \"FL_ADDR\[2\]\" is stuck at GND" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 123 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1466372499749 "|Z80SOC|FL_ADDR[2]"} { "Warning" "WMLS_MLS_STUCK_PIN" "FL_ADDR\[3\] GND " "Pin \"FL_ADDR\[3\]\" is stuck at GND" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 123 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1466372499749 "|Z80SOC|FL_ADDR[3]"} { "Warning" "WMLS_MLS_STUCK_PIN" "FL_ADDR\[4\] GND " "Pin \"FL_ADDR\[4\]\" is stuck at GND" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 123 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1466372499749 "|Z80SOC|FL_ADDR[4]"} { "Warning" "WMLS_MLS_STUCK_PIN" "FL_ADDR\[5\] GND " "Pin \"FL_ADDR\[5\]\" is stuck at GND" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 123 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1466372499749 "|Z80SOC|FL_ADDR[5]"} { "Warning" "WMLS_MLS_STUCK_PIN" "FL_ADDR\[6\] GND " "Pin \"FL_ADDR\[6\]\" is stuck at GND" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 123 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1466372499749 "|Z80SOC|FL_ADDR[6]"} { "Warning" "WMLS_MLS_STUCK_PIN" "FL_ADDR\[7\] GND " "Pin \"FL_ADDR\[7\]\" is stuck at GND" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 123 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1466372499749 "|Z80SOC|FL_ADDR[7]"} { "Warning" "WMLS_MLS_STUCK_PIN" "FL_ADDR\[8\] GND " "Pin \"FL_ADDR\[8\]\" is stuck at GND" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 123 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1466372499749 "|Z80SOC|FL_ADDR[8]"} { "Warning" "WMLS_MLS_STUCK_PIN" "FL_ADDR\[9\] GND " "Pin \"FL_ADDR\[9\]\" is stuck at GND" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 123 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1466372499749 "|Z80SOC|FL_ADDR[9]"} { "Warning" "WMLS_MLS_STUCK_PIN" "FL_ADDR\[10\] GND " "Pin \"FL_ADDR\[10\]\" is stuck at GND" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 123 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1466372499749 "|Z80SOC|FL_ADDR[10]"} { "Warning" "WMLS_MLS_STUCK_PIN" "FL_ADDR\[11\] GND " "Pin \"FL_ADDR\[11\]\" is stuck at GND" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 123 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1466372499749 "|Z80SOC|FL_ADDR[11]"} { "Warning" "WMLS_MLS_STUCK_PIN" "FL_ADDR\[12\] GND " "Pin \"FL_ADDR\[12\]\" is stuck at GND" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 123 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1466372499749 "|Z80SOC|FL_ADDR[12]"} { "Warning" "WMLS_MLS_STUCK_PIN" "FL_ADDR\[13\] GND " "Pin \"FL_ADDR\[13\]\" is stuck at GND" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 123 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1466372499749 "|Z80SOC|FL_ADDR[13]"} { "Warning" "WMLS_MLS_STUCK_PIN" "FL_ADDR\[14\] GND " "Pin \"FL_ADDR\[14\]\" is stuck at GND" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 123 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1466372499749 "|Z80SOC|FL_ADDR[14]"} { "Warning" "WMLS_MLS_STUCK_PIN" "FL_ADDR\[15\] GND " "Pin \"FL_ADDR\[15\]\" is stuck at GND" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 123 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1466372499749 "|Z80SOC|FL_ADDR[15]"} { "Warning" "WMLS_MLS_STUCK_PIN" "FL_ADDR\[16\] GND " "Pin \"FL_ADDR\[16\]\" is stuck at GND" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 123 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1466372499749 "|Z80SOC|FL_ADDR[16]"} { "Warning" "WMLS_MLS_STUCK_PIN" "FL_ADDR\[17\] GND " "Pin \"FL_ADDR\[17\]\" is stuck at GND" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 123 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1466372499749 "|Z80SOC|FL_ADDR[17]"} { "Warning" "WMLS_MLS_STUCK_PIN" "FL_ADDR\[18\] GND " "Pin \"FL_ADDR\[18\]\" is stuck at GND" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 123 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1466372499749 "|Z80SOC|FL_ADDR[18]"} { "Warning" "WMLS_MLS_STUCK_PIN" "FL_ADDR\[19\] GND " "Pin \"FL_ADDR\[19\]\" is stuck at GND" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 123 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1466372499749 "|Z80SOC|FL_ADDR[19]"} { "Warning" "WMLS_MLS_STUCK_PIN" "FL_ADDR\[20\] GND " "Pin \"FL_ADDR\[20\]\" is stuck at GND" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 123 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1466372499749 "|Z80SOC|FL_ADDR[20]"} { "Warning" "WMLS_MLS_STUCK_PIN" "FL_ADDR\[21\] GND " "Pin \"FL_ADDR\[21\]\" is stuck at GND" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 123 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1466372499749 "|Z80SOC|FL_ADDR[21]"} { "Warning" "WMLS_MLS_STUCK_PIN" "FL_WE_N VCC " "Pin \"FL_WE_N\" is stuck at VCC" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 124 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1466372499749 "|Z80SOC|FL_WE_N"} { "Warning" "WMLS_MLS_STUCK_PIN" "FL_RST_N GND " "Pin \"FL_RST_N\" is stuck at GND" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 125 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1466372499749 "|Z80SOC|FL_RST_N"} { "Warning" "WMLS_MLS_STUCK_PIN" "FL_OE_N VCC " "Pin \"FL_OE_N\" is stuck at VCC" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 126 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1466372499749 "|Z80SOC|FL_OE_N"} { "Warning" "WMLS_MLS_STUCK_PIN" "FL_CE_N VCC " "Pin \"FL_CE_N\" is stuck at VCC" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 127 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1466372499749 "|Z80SOC|FL_CE_N"} { "Warning" "WMLS_MLS_STUCK_PIN" "SRAM_ADDR\[16\] GND " "Pin \"SRAM_ADDR\[16\]\" is stuck at GND" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 131 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1466372499749 "|Z80SOC|SRAM_ADDR[16]"} { "Warning" "WMLS_MLS_STUCK_PIN" "SRAM_ADDR\[17\] GND " "Pin \"SRAM_ADDR\[17\]\" is stuck at GND" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 131 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1466372499749 "|Z80SOC|SRAM_ADDR[17]"} { "Warning" "WMLS_MLS_STUCK_PIN" "SRAM_UB_N VCC " "Pin \"SRAM_UB_N\" is stuck at VCC" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 132 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1466372499749 "|Z80SOC|SRAM_UB_N"} { "Warning" "WMLS_MLS_STUCK_PIN" "SRAM_LB_N GND " "Pin \"SRAM_LB_N\" is stuck at GND" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 133 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1466372499749 "|Z80SOC|SRAM_LB_N"} { "Warning" "WMLS_MLS_STUCK_PIN" "SRAM_CE_N GND " "Pin \"SRAM_CE_N\" is stuck at GND" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 135 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1466372499749 "|Z80SOC|SRAM_CE_N"} { "Warning" "WMLS_MLS_STUCK_PIN" "SD_DAT3 GND " "Pin \"SD_DAT3\" is stuck at GND" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 140 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1466372499749 "|Z80SOC|SD_DAT3"} { "Warning" "WMLS_MLS_STUCK_PIN" "SD_CMD GND " "Pin \"SD_CMD\" is stuck at GND" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 141 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1466372499749 "|Z80SOC|SD_CMD"} { "Warning" "WMLS_MLS_STUCK_PIN" "SD_CLK GND " "Pin \"SD_CLK\" is stuck at GND" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 142 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1466372499749 "|Z80SOC|SD_CLK"} { "Warning" "WMLS_MLS_STUCK_PIN" "TDO GND " "Pin \"TDO\" is stuck at GND" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 148 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1466372499749 "|Z80SOC|TDO"} { "Warning" "WMLS_MLS_STUCK_PIN" "I2C_SCLK GND " "Pin \"I2C_SCLK\" is stuck at GND" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 152 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1466372499749 "|Z80SOC|I2C_SCLK"} { "Warning" "WMLS_MLS_STUCK_PIN" "VGA_R\[0\] GND " "Pin \"VGA_R\[0\]\" is stuck at GND" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 161 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1466372499749 "|Z80SOC|VGA_R[0]"} { "Warning" "WMLS_MLS_STUCK_PIN" "VGA_R\[1\] GND " "Pin \"VGA_R\[1\]\" is stuck at GND" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 161 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1466372499749 "|Z80SOC|VGA_R[1]"} { "Warning" "WMLS_MLS_STUCK_PIN" "VGA_R\[2\] GND " "Pin \"VGA_R\[2\]\" is stuck at GND" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 161 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1466372499749 "|Z80SOC|VGA_R[2]"} { "Warning" "WMLS_MLS_STUCK_PIN" "VGA_R\[3\] GND " "Pin \"VGA_R\[3\]\" is stuck at GND" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 161 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1466372499749 "|Z80SOC|VGA_R[3]"} { "Warning" "WMLS_MLS_STUCK_PIN" "VGA_G\[0\] GND " "Pin \"VGA_G\[0\]\" is stuck at GND" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 162 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1466372499749 "|Z80SOC|VGA_G[0]"} { "Warning" "WMLS_MLS_STUCK_PIN" "VGA_G\[1\] GND " "Pin \"VGA_G\[1\]\" is stuck at GND" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 162 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1466372499749 "|Z80SOC|VGA_G[1]"} { "Warning" "WMLS_MLS_STUCK_PIN" "VGA_G\[2\] GND " "Pin \"VGA_G\[2\]\" is stuck at GND" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 162 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1466372499749 "|Z80SOC|VGA_G[2]"} { "Warning" "WMLS_MLS_STUCK_PIN" "VGA_G\[3\] GND " "Pin \"VGA_G\[3\]\" is stuck at GND" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 162 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1466372499749 "|Z80SOC|VGA_G[3]"} { "Warning" "WMLS_MLS_STUCK_PIN" "AUD_DACDAT GND " "Pin \"AUD_DACDAT\" is stuck at GND" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 169 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1466372499749 "|Z80SOC|AUD_DACDAT"} { "Warning" "WMLS_MLS_STUCK_PIN" "AUD_XCK GND " "Pin \"AUD_XCK\" is stuck at GND" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 171 -1 0 } }  } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1466372499749 "|Z80SOC|AUD_XCK"}  } {  } 0 13024 "Output pins are stuck at VCC or GND" 0 0 "Quartus II" 0 -1 1466372499749 ""}
100
{ "Info" "ISCL_SCL_LOST_FANOUT_MSG_HDR" "6 " "6 registers lost all their fanouts during netlist optimizations." {  } {  } 0 17049 "%1!d! registers lost all their fanouts during netlist optimizations." 0 0 "Quartus II" 0 -1 1466372514871 ""}
101
{ "Info" "IBPM_HARD_BLOCK_PARTITION_CREATED" "hard_block:auto_generated_inst " "Generating hard_block partition \"hard_block:auto_generated_inst\"" { { "Info" "IBPM_HARD_BLOCK_PARTITION_NODE" "0 0 0 0 0 " "Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL" {  } {  } 0 16011 "Adding %1!d! node(s), including %2!d! DDIO, %3!d! PLL, %4!d! transceiver and %5!d! LCELL" 0 0 "Quartus II" 0 -1 1466372518256 ""}  } {  } 0 16010 "Generating hard_block partition \"%1!s!\"" 0 0 "Quartus II" 0 -1 1466372518256 ""}
102
{ "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN_HDR" "9 " "Design contains 9 input pin(s) that do not drive logic" { { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "CLOCK_27 " "No output dependent on input pin \"CLOCK_27\"" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 84 0 0 } }  } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1466372519538 "|Z80SOC|CLOCK_27"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "EXT_CLOCK " "No output dependent on input pin \"EXT_CLOCK\"" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 86 0 0 } }  } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1466372519538 "|Z80SOC|EXT_CLOCK"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "UART_RXD " "No output dependent on input pin \"UART_RXD\"" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 100 0 0 } }  } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1466372519538 "|Z80SOC|UART_RXD"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "IRDA_RXD " "No output dependent on input pin \"IRDA_RXD\"" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 105 0 0 } }  } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1466372519538 "|Z80SOC|IRDA_RXD"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "SD_DAT " "No output dependent on input pin \"SD_DAT\"" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 139 0 0 } }  } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1466372519538 "|Z80SOC|SD_DAT"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "TDI " "No output dependent on input pin \"TDI\"" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 145 0 0 } }  } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1466372519538 "|Z80SOC|TDI"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "TCK " "No output dependent on input pin \"TCK\"" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 146 0 0 } }  } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1466372519538 "|Z80SOC|TCK"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "TCS " "No output dependent on input pin \"TCS\"" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 147 0 0 } }  } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1466372519538 "|Z80SOC|TCS"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "AUD_ADCDAT " "No output dependent on input pin \"AUD_ADCDAT\"" {  } { { "vhdl/z80soc.vhd" "" { Text "F:/z80soc-local/hw/0.7.3/DE1/vhdl/z80soc.vhd" 167 0 0 } }  } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1466372519538 "|Z80SOC|AUD_ADCDAT"}  } {  } 0 21074 "Design contains %1!d! input pin(s) that do not drive logic" 0 0 "Quartus II" 0 -1 1466372519538 ""}
103
{ "Info" "ICUT_CUT_TM_SUMMARY" "3088 " "Implemented 3088 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "24 " "Implemented 24 input pins" {  } {  } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1466372519554 ""} { "Info" "ICUT_CUT_TM_OPINS" "139 " "Implemented 139 output pins" {  } {  } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1466372519554 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "118 " "Implemented 118 bidirectional pins" {  } {  } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Quartus II" 0 -1 1466372519554 ""} { "Info" "ICUT_CUT_TM_LCELLS" "2751 " "Implemented 2751 logic cells" {  } {  } 0 21061 "Implemented %1!d! logic cells" 0 0 "Quartus II" 0 -1 1466372519554 ""} { "Info" "ICUT_CUT_TM_RAMS" "56 " "Implemented 56 RAM segments" {  } {  } 0 21064 "Implemented %1!d! RAM segments" 0 0 "Quartus II" 0 -1 1466372519554 ""}  } {  } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1466372519554 ""}
104
{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 139 s Quartus II 64-Bit " "Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 139 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "544 " "Peak virtual memory: 544 megabytes" {  } {  } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1466372519768 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Jun 19 14:41:59 2016 " "Processing ended: Sun Jun 19 14:41:59 2016" {  } {  } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1466372519768 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:01:05 " "Elapsed time: 00:01:05" {  } {  } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1466372519768 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:45 " "Total CPU time (on all processors): 00:00:45" {  } {  } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1466372519768 ""}  } {  } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1466372519768 ""}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.