OpenCores
URL https://opencores.org/ocsvn/z80soc/z80soc/trunk

Subversion Repositories z80soc

[/] [z80soc/] [trunk/] [V0.7.3/] [DE1/] [vhdl/] [PLL_Clocks.vhd] - Blame information for rev 46

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 46 rrred
-- megafunction wizard: %ALTPLL%
2
-- GENERATION: STANDARD
3
-- VERSION: WM1.0
4
-- MODULE: altpll 
5
 
6
-- ============================================================
7
-- File Name: PLL_Clocks.vhd
8
-- Megafunction Name(s):
9
--                      altpll
10
--
11
-- Simulation Library Files(s):
12
--                      altera_mf
13
-- ============================================================
14
-- ************************************************************
15
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
16
--
17
-- 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition
18
-- ************************************************************
19
 
20
 
21
--Copyright (C) 1991-2013 Altera Corporation
22
--Your use of Altera Corporation's design tools, logic functions 
23
--and other software and tools, and its AMPP partner logic 
24
--functions, and any output files from any of the foregoing 
25
--(including device programming or simulation files), and any 
26
--associated documentation or information are expressly subject 
27
--to the terms and conditions of the Altera Program License 
28
--Subscription Agreement, Altera MegaCore Function License 
29
--Agreement, or other applicable license agreement, including, 
30
--without limitation, that your use is for the sole purpose of 
31
--programming logic devices manufactured by Altera and sold by 
32
--Altera or its authorized distributors.  Please refer to the 
33
--applicable agreement for further details.
34
 
35
 
36
LIBRARY ieee;
37
USE ieee.std_logic_1164.all;
38
 
39
LIBRARY altera_mf;
40
USE altera_mf.all;
41
 
42
ENTITY PLL_Clocks IS
43
        PORT
44
        (
45
                inclk0          : IN STD_LOGIC  := '0';
46
                c0              : OUT STD_LOGIC ;
47
                c1              : OUT STD_LOGIC ;
48
                c2              : OUT STD_LOGIC
49
        );
50
END PLL_Clocks;
51
 
52
 
53
ARCHITECTURE SYN OF pll_clocks IS
54
 
55
        SIGNAL sub_wire0        : STD_LOGIC_VECTOR (5 DOWNTO 0);
56
        SIGNAL sub_wire1        : STD_LOGIC ;
57
        SIGNAL sub_wire2        : STD_LOGIC ;
58
        SIGNAL sub_wire3        : STD_LOGIC ;
59
        SIGNAL sub_wire4        : STD_LOGIC ;
60
        SIGNAL sub_wire5        : STD_LOGIC_VECTOR (1 DOWNTO 0);
61
        SIGNAL sub_wire6_bv     : BIT_VECTOR (0 DOWNTO 0);
62
        SIGNAL sub_wire6        : STD_LOGIC_VECTOR (0 DOWNTO 0);
63
 
64
 
65
 
66
        COMPONENT altpll
67
        GENERIC (
68
                clk0_divide_by          : NATURAL;
69
                clk0_duty_cycle         : NATURAL;
70
                clk0_multiply_by                : NATURAL;
71
                clk0_phase_shift                : STRING;
72
                clk1_divide_by          : NATURAL;
73
                clk1_duty_cycle         : NATURAL;
74
                clk1_multiply_by                : NATURAL;
75
                clk1_phase_shift                : STRING;
76
                clk2_divide_by          : NATURAL;
77
                clk2_duty_cycle         : NATURAL;
78
                clk2_multiply_by                : NATURAL;
79
                clk2_phase_shift                : STRING;
80
                compensate_clock                : STRING;
81
                inclk0_input_frequency          : NATURAL;
82
                intended_device_family          : STRING;
83
                lpm_type                : STRING;
84
                operation_mode          : STRING;
85
                port_activeclock                : STRING;
86
                port_areset             : STRING;
87
                port_clkbad0            : STRING;
88
                port_clkbad1            : STRING;
89
                port_clkloss            : STRING;
90
                port_clkswitch          : STRING;
91
                port_configupdate               : STRING;
92
                port_fbin               : STRING;
93
                port_inclk0             : STRING;
94
                port_inclk1             : STRING;
95
                port_locked             : STRING;
96
                port_pfdena             : STRING;
97
                port_phasecounterselect         : STRING;
98
                port_phasedone          : STRING;
99
                port_phasestep          : STRING;
100
                port_phaseupdown                : STRING;
101
                port_pllena             : STRING;
102
                port_scanaclr           : STRING;
103
                port_scanclk            : STRING;
104
                port_scanclkena         : STRING;
105
                port_scandata           : STRING;
106
                port_scandataout                : STRING;
107
                port_scandone           : STRING;
108
                port_scanread           : STRING;
109
                port_scanwrite          : STRING;
110
                port_clk0               : STRING;
111
                port_clk1               : STRING;
112
                port_clk2               : STRING;
113
                port_clk3               : STRING;
114
                port_clk4               : STRING;
115
                port_clk5               : STRING;
116
                port_clkena0            : STRING;
117
                port_clkena1            : STRING;
118
                port_clkena2            : STRING;
119
                port_clkena3            : STRING;
120
                port_clkena4            : STRING;
121
                port_clkena5            : STRING;
122
                port_extclk0            : STRING;
123
                port_extclk1            : STRING;
124
                port_extclk2            : STRING;
125
                port_extclk3            : STRING
126
        );
127
        PORT (
128
                        clk     : OUT STD_LOGIC_VECTOR (5 DOWNTO 0);
129
                        inclk   : IN STD_LOGIC_VECTOR (1 DOWNTO 0)
130
        );
131
        END COMPONENT;
132
 
133
BEGIN
134
        sub_wire6_bv(0 DOWNTO 0) <= "0";
135
        sub_wire6    <= To_stdlogicvector(sub_wire6_bv);
136
        sub_wire3    <= sub_wire0(2);
137
        sub_wire2    <= sub_wire0(0);
138
        sub_wire1    <= sub_wire0(1);
139
        c1    <= sub_wire1;
140
        c0    <= sub_wire2;
141
        c2    <= sub_wire3;
142
        sub_wire4    <= inclk0;
143
        sub_wire5    <= sub_wire6(0 DOWNTO 0) & sub_wire4;
144
 
145
        altpll_component : altpll
146
        GENERIC MAP (
147
                clk0_divide_by => 2,
148
                clk0_duty_cycle => 50,
149
                clk0_multiply_by => 1,
150
                clk0_phase_shift => "0",
151
                clk1_divide_by => 5,
152
                clk1_duty_cycle => 50,
153
                clk1_multiply_by => 1,
154
                clk1_phase_shift => "0",
155
                clk2_divide_by => 1,
156
                clk2_duty_cycle => 50,
157
                clk2_multiply_by => 2,
158
                clk2_phase_shift => "0",
159
                compensate_clock => "CLK0",
160
                inclk0_input_frequency => 20000,
161
                intended_device_family => "Cyclone II",
162
                lpm_type => "altpll",
163
                operation_mode => "NORMAL",
164
                port_activeclock => "PORT_UNUSED",
165
                port_areset => "PORT_UNUSED",
166
                port_clkbad0 => "PORT_UNUSED",
167
                port_clkbad1 => "PORT_UNUSED",
168
                port_clkloss => "PORT_UNUSED",
169
                port_clkswitch => "PORT_UNUSED",
170
                port_configupdate => "PORT_UNUSED",
171
                port_fbin => "PORT_UNUSED",
172
                port_inclk0 => "PORT_USED",
173
                port_inclk1 => "PORT_UNUSED",
174
                port_locked => "PORT_UNUSED",
175
                port_pfdena => "PORT_UNUSED",
176
                port_phasecounterselect => "PORT_UNUSED",
177
                port_phasedone => "PORT_UNUSED",
178
                port_phasestep => "PORT_UNUSED",
179
                port_phaseupdown => "PORT_UNUSED",
180
                port_pllena => "PORT_UNUSED",
181
                port_scanaclr => "PORT_UNUSED",
182
                port_scanclk => "PORT_UNUSED",
183
                port_scanclkena => "PORT_UNUSED",
184
                port_scandata => "PORT_UNUSED",
185
                port_scandataout => "PORT_UNUSED",
186
                port_scandone => "PORT_UNUSED",
187
                port_scanread => "PORT_UNUSED",
188
                port_scanwrite => "PORT_UNUSED",
189
                port_clk0 => "PORT_USED",
190
                port_clk1 => "PORT_USED",
191
                port_clk2 => "PORT_USED",
192
                port_clk3 => "PORT_UNUSED",
193
                port_clk4 => "PORT_UNUSED",
194
                port_clk5 => "PORT_UNUSED",
195
                port_clkena0 => "PORT_UNUSED",
196
                port_clkena1 => "PORT_UNUSED",
197
                port_clkena2 => "PORT_UNUSED",
198
                port_clkena3 => "PORT_UNUSED",
199
                port_clkena4 => "PORT_UNUSED",
200
                port_clkena5 => "PORT_UNUSED",
201
                port_extclk0 => "PORT_UNUSED",
202
                port_extclk1 => "PORT_UNUSED",
203
                port_extclk2 => "PORT_UNUSED",
204
                port_extclk3 => "PORT_UNUSED"
205
        )
206
        PORT MAP (
207
                inclk => sub_wire5,
208
                clk => sub_wire0
209
        );
210
 
211
 
212
 
213
END SYN;
214
 
215
-- ============================================================
216
-- CNX file retrieval info
217
-- ============================================================
218
-- Retrieval info: PRIVATE: ACTIVECLK_CHECK STRING "0"
219
-- Retrieval info: PRIVATE: BANDWIDTH STRING "1.000"
220
-- Retrieval info: PRIVATE: BANDWIDTH_FEATURE_ENABLED STRING "0"
221
-- Retrieval info: PRIVATE: BANDWIDTH_FREQ_UNIT STRING "MHz"
222
-- Retrieval info: PRIVATE: BANDWIDTH_PRESET STRING "Low"
223
-- Retrieval info: PRIVATE: BANDWIDTH_USE_AUTO STRING "1"
224
-- Retrieval info: PRIVATE: BANDWIDTH_USE_CUSTOM STRING "0"
225
-- Retrieval info: PRIVATE: BANDWIDTH_USE_PRESET STRING "0"
226
-- Retrieval info: PRIVATE: CLKBAD_SWITCHOVER_CHECK STRING "0"
227
-- Retrieval info: PRIVATE: CLKLOSS_CHECK STRING "0"
228
-- Retrieval info: PRIVATE: CLKSWITCH_CHECK STRING "0"
229
-- Retrieval info: PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "0"
230
-- Retrieval info: PRIVATE: CREATE_CLKBAD_CHECK STRING "0"
231
-- Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "0"
232
-- Retrieval info: PRIVATE: CUR_DEDICATED_CLK STRING "c0"
233
-- Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "e0"
234
-- Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "6"
235
-- Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "1"
236
-- Retrieval info: PRIVATE: DIV_FACTOR1 NUMERIC "1"
237
-- Retrieval info: PRIVATE: DIV_FACTOR2 NUMERIC "1"
238
-- Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000"
239
-- Retrieval info: PRIVATE: DUTY_CYCLE1 STRING "50.00000000"
240
-- Retrieval info: PRIVATE: DUTY_CYCLE2 STRING "50.00000000"
241
-- Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE0 STRING "25.000000"
242
-- Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE1 STRING "10.000000"
243
-- Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE2 STRING "100.000000"
244
-- Retrieval info: PRIVATE: EXPLICIT_SWITCHOVER_COUNTER STRING "0"
245
-- Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0"
246
-- Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1"
247
-- Retrieval info: PRIVATE: GLOCKED_FEATURE_ENABLED STRING "1"
248
-- Retrieval info: PRIVATE: GLOCKED_MODE_CHECK STRING "0"
249
-- Retrieval info: PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "1048575"
250
-- Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1"
251
-- Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "50.000"
252
-- Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz"
253
-- Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "100.000"
254
-- Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1"
255
-- Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1"
256
-- Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz"
257
-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone II"
258
-- Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1"
259
-- Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "0"
260
-- Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1"
261
-- Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "Not Available"
262
-- Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0"
263
-- Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg"
264
-- Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT1 STRING "ps"
265
-- Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT2 STRING "ps"
266
-- Retrieval info: PRIVATE: MIG_DEVICE_SPEED_GRADE STRING "Any"
267
-- Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0"
268
-- Retrieval info: PRIVATE: MIRROR_CLK1 STRING "0"
269
-- Retrieval info: PRIVATE: MIRROR_CLK2 STRING "0"
270
-- Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "1"
271
-- Retrieval info: PRIVATE: MULT_FACTOR1 NUMERIC "1"
272
-- Retrieval info: PRIVATE: MULT_FACTOR2 NUMERIC "1"
273
-- Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1"
274
-- Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "25.00000000"
275
-- Retrieval info: PRIVATE: OUTPUT_FREQ1 STRING "10.00000000"
276
-- Retrieval info: PRIVATE: OUTPUT_FREQ2 STRING "100.00000000"
277
-- Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "1"
278
-- Retrieval info: PRIVATE: OUTPUT_FREQ_MODE1 STRING "1"
279
-- Retrieval info: PRIVATE: OUTPUT_FREQ_MODE2 STRING "1"
280
-- Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz"
281
-- Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT1 STRING "MHz"
282
-- Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT2 STRING "MHz"
283
-- Retrieval info: PRIVATE: PHASE_RECONFIG_FEATURE_ENABLED STRING "0"
284
-- Retrieval info: PRIVATE: PHASE_RECONFIG_INPUTS_CHECK STRING "0"
285
-- Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "0.00000000"
286
-- Retrieval info: PRIVATE: PHASE_SHIFT1 STRING "0.00000000"
287
-- Retrieval info: PRIVATE: PHASE_SHIFT2 STRING "0.00000000"
288
-- Retrieval info: PRIVATE: PHASE_SHIFT_STEP_ENABLED_CHECK STRING "0"
289
-- Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "deg"
290
-- Retrieval info: PRIVATE: PHASE_SHIFT_UNIT1 STRING "ps"
291
-- Retrieval info: PRIVATE: PHASE_SHIFT_UNIT2 STRING "ps"
292
-- Retrieval info: PRIVATE: PLL_ADVANCED_PARAM_CHECK STRING "0"
293
-- Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "0"
294
-- Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1"
295
-- Retrieval info: PRIVATE: PLL_ENA_CHECK STRING "0"
296
-- Retrieval info: PRIVATE: PLL_ENHPLL_CHECK NUMERIC "0"
297
-- Retrieval info: PRIVATE: PLL_FASTPLL_CHECK NUMERIC "0"
298
-- Retrieval info: PRIVATE: PLL_FBMIMIC_CHECK STRING "0"
299
-- Retrieval info: PRIVATE: PLL_LVDS_PLL_CHECK NUMERIC "0"
300
-- Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0"
301
-- Retrieval info: PRIVATE: PLL_TARGET_HARCOPY_CHECK NUMERIC "0"
302
-- Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0"
303
-- Retrieval info: PRIVATE: RECONFIG_FILE STRING "PLL_Clocks.mif"
304
-- Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0"
305
-- Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "0"
306
-- Retrieval info: PRIVATE: SELF_RESET_LOCK_LOSS STRING "0"
307
-- Retrieval info: PRIVATE: SHORT_SCAN_RADIO STRING "0"
308
-- Retrieval info: PRIVATE: SPREAD_FEATURE_ENABLED STRING "0"
309
-- Retrieval info: PRIVATE: SPREAD_FREQ STRING "50.000"
310
-- Retrieval info: PRIVATE: SPREAD_FREQ_UNIT STRING "KHz"
311
-- Retrieval info: PRIVATE: SPREAD_PERCENT STRING "0.500"
312
-- Retrieval info: PRIVATE: SPREAD_USE STRING "0"
313
-- Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0"
314
-- Retrieval info: PRIVATE: STICKY_CLK0 STRING "1"
315
-- Retrieval info: PRIVATE: STICKY_CLK1 STRING "1"
316
-- Retrieval info: PRIVATE: STICKY_CLK2 STRING "1"
317
-- Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1"
318
-- Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "1"
319
-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
320
-- Retrieval info: PRIVATE: USE_CLK0 STRING "1"
321
-- Retrieval info: PRIVATE: USE_CLK1 STRING "1"
322
-- Retrieval info: PRIVATE: USE_CLK2 STRING "1"
323
-- Retrieval info: PRIVATE: USE_CLKENA0 STRING "0"
324
-- Retrieval info: PRIVATE: USE_CLKENA1 STRING "0"
325
-- Retrieval info: PRIVATE: USE_CLKENA2 STRING "0"
326
-- Retrieval info: PRIVATE: USE_MIL_SPEED_GRADE NUMERIC "0"
327
-- Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0"
328
-- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
329
-- Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "2"
330
-- Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50"
331
-- Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "1"
332
-- Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "0"
333
-- Retrieval info: CONSTANT: CLK1_DIVIDE_BY NUMERIC "5"
334
-- Retrieval info: CONSTANT: CLK1_DUTY_CYCLE NUMERIC "50"
335
-- Retrieval info: CONSTANT: CLK1_MULTIPLY_BY NUMERIC "1"
336
-- Retrieval info: CONSTANT: CLK1_PHASE_SHIFT STRING "0"
337
-- Retrieval info: CONSTANT: CLK2_DIVIDE_BY NUMERIC "1"
338
-- Retrieval info: CONSTANT: CLK2_DUTY_CYCLE NUMERIC "50"
339
-- Retrieval info: CONSTANT: CLK2_MULTIPLY_BY NUMERIC "2"
340
-- Retrieval info: CONSTANT: CLK2_PHASE_SHIFT STRING "0"
341
-- Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0"
342
-- Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "20000"
343
-- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone II"
344
-- Retrieval info: CONSTANT: LPM_TYPE STRING "altpll"
345
-- Retrieval info: CONSTANT: OPERATION_MODE STRING "NORMAL"
346
-- Retrieval info: CONSTANT: PORT_ACTIVECLOCK STRING "PORT_UNUSED"
347
-- Retrieval info: CONSTANT: PORT_ARESET STRING "PORT_UNUSED"
348
-- Retrieval info: CONSTANT: PORT_CLKBAD0 STRING "PORT_UNUSED"
349
-- Retrieval info: CONSTANT: PORT_CLKBAD1 STRING "PORT_UNUSED"
350
-- Retrieval info: CONSTANT: PORT_CLKLOSS STRING "PORT_UNUSED"
351
-- Retrieval info: CONSTANT: PORT_CLKSWITCH STRING "PORT_UNUSED"
352
-- Retrieval info: CONSTANT: PORT_CONFIGUPDATE STRING "PORT_UNUSED"
353
-- Retrieval info: CONSTANT: PORT_FBIN STRING "PORT_UNUSED"
354
-- Retrieval info: CONSTANT: PORT_INCLK0 STRING "PORT_USED"
355
-- Retrieval info: CONSTANT: PORT_INCLK1 STRING "PORT_UNUSED"
356
-- Retrieval info: CONSTANT: PORT_LOCKED STRING "PORT_UNUSED"
357
-- Retrieval info: CONSTANT: PORT_PFDENA STRING "PORT_UNUSED"
358
-- Retrieval info: CONSTANT: PORT_PHASECOUNTERSELECT STRING "PORT_UNUSED"
359
-- Retrieval info: CONSTANT: PORT_PHASEDONE STRING "PORT_UNUSED"
360
-- Retrieval info: CONSTANT: PORT_PHASESTEP STRING "PORT_UNUSED"
361
-- Retrieval info: CONSTANT: PORT_PHASEUPDOWN STRING "PORT_UNUSED"
362
-- Retrieval info: CONSTANT: PORT_PLLENA STRING "PORT_UNUSED"
363
-- Retrieval info: CONSTANT: PORT_SCANACLR STRING "PORT_UNUSED"
364
-- Retrieval info: CONSTANT: PORT_SCANCLK STRING "PORT_UNUSED"
365
-- Retrieval info: CONSTANT: PORT_SCANCLKENA STRING "PORT_UNUSED"
366
-- Retrieval info: CONSTANT: PORT_SCANDATA STRING "PORT_UNUSED"
367
-- Retrieval info: CONSTANT: PORT_SCANDATAOUT STRING "PORT_UNUSED"
368
-- Retrieval info: CONSTANT: PORT_SCANDONE STRING "PORT_UNUSED"
369
-- Retrieval info: CONSTANT: PORT_SCANREAD STRING "PORT_UNUSED"
370
-- Retrieval info: CONSTANT: PORT_SCANWRITE STRING "PORT_UNUSED"
371
-- Retrieval info: CONSTANT: PORT_clk0 STRING "PORT_USED"
372
-- Retrieval info: CONSTANT: PORT_clk1 STRING "PORT_USED"
373
-- Retrieval info: CONSTANT: PORT_clk2 STRING "PORT_USED"
374
-- Retrieval info: CONSTANT: PORT_clk3 STRING "PORT_UNUSED"
375
-- Retrieval info: CONSTANT: PORT_clk4 STRING "PORT_UNUSED"
376
-- Retrieval info: CONSTANT: PORT_clk5 STRING "PORT_UNUSED"
377
-- Retrieval info: CONSTANT: PORT_clkena0 STRING "PORT_UNUSED"
378
-- Retrieval info: CONSTANT: PORT_clkena1 STRING "PORT_UNUSED"
379
-- Retrieval info: CONSTANT: PORT_clkena2 STRING "PORT_UNUSED"
380
-- Retrieval info: CONSTANT: PORT_clkena3 STRING "PORT_UNUSED"
381
-- Retrieval info: CONSTANT: PORT_clkena4 STRING "PORT_UNUSED"
382
-- Retrieval info: CONSTANT: PORT_clkena5 STRING "PORT_UNUSED"
383
-- Retrieval info: CONSTANT: PORT_extclk0 STRING "PORT_UNUSED"
384
-- Retrieval info: CONSTANT: PORT_extclk1 STRING "PORT_UNUSED"
385
-- Retrieval info: CONSTANT: PORT_extclk2 STRING "PORT_UNUSED"
386
-- Retrieval info: CONSTANT: PORT_extclk3 STRING "PORT_UNUSED"
387
-- Retrieval info: USED_PORT: @clk 0 0 6 0 OUTPUT_CLK_EXT VCC "@clk[5..0]"
388
-- Retrieval info: USED_PORT: @extclk 0 0 4 0 OUTPUT_CLK_EXT VCC "@extclk[3..0]"
389
-- Retrieval info: USED_PORT: @inclk 0 0 2 0 INPUT_CLK_EXT VCC "@inclk[1..0]"
390
-- Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT_CLK_EXT VCC "c0"
391
-- Retrieval info: USED_PORT: c1 0 0 0 0 OUTPUT_CLK_EXT VCC "c1"
392
-- Retrieval info: USED_PORT: c2 0 0 0 0 OUTPUT_CLK_EXT VCC "c2"
393
-- Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT_CLK_EXT GND "inclk0"
394
-- Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0
395
-- Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0
396
-- Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0
397
-- Retrieval info: CONNECT: c1 0 0 0 0 @clk 0 0 1 1
398
-- Retrieval info: CONNECT: c2 0 0 0 0 @clk 0 0 1 2
399
-- Retrieval info: GEN_FILE: TYPE_NORMAL PLL_Clocks.vhd TRUE
400
-- Retrieval info: GEN_FILE: TYPE_NORMAL PLL_Clocks.inc FALSE
401
-- Retrieval info: GEN_FILE: TYPE_NORMAL PLL_Clocks.cmp TRUE
402
-- Retrieval info: GEN_FILE: TYPE_NORMAL PLL_Clocks.bsf FALSE
403
-- Retrieval info: GEN_FILE: TYPE_NORMAL PLL_Clocks_inst.vhd TRUE
404
-- Retrieval info: GEN_FILE: TYPE_NORMAL PLL_Clocks.ppf TRUE
405
-- Retrieval info: LIB_FILE: altera_mf

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.