OpenCores
URL https://opencores.org/ocsvn/z80soc/z80soc/trunk

Subversion Repositories z80soc

[/] [z80soc/] [trunk/] [V0.7.3/] [DE1/] [vhdl/] [z80soc_pack.vhd.bak] - Blame information for rev 46

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 46 rrred
-------------------------------------------------------------------------------------------------
2
-- This design is part of:
3
-- Z80SoC (Z80 System on Chip)
4
-- Ronivon Candido Costa
5
-- ronivon.costa@gmail.com
6
--
7
 
8
library ieee;
9
use ieee.std_logic_1164.all;
10
 
11
package z80soc_pack is
12
 
13
        constant Z80SOC_Arch_value      : std_logic_vector(2 downto 0) := "010"; -- 0 = DE1, 1 = S3E, 2 = DE2
14
 
15
        -- Generic constrainsts
16
        constant vid_cols                               : integer := 80; -- video number of columns
17
        constant vid_lines                      : integer := 60; -- video number of lines
18
        constant pixelsxchar                    : integer := 1;
19
        constant Z80SOC_Arch_addr       : std_logic_vector(15 downto 0) := x"57DF";
20
        constant KEYPRESS_addr          : std_logic_vector(15 downto 0) := x"57DE";
21
        constant LCD_addr                               : std_logic_vector(15 downto 0) := x"57DC";
22
        constant RAMTOP_addr                    : std_logic_vector(15 downto 0) := x"57DA";
23
        constant RAMBOTT_addr           : std_logic_vector(15 downto 0) := x"57D8";
24
        constant CHARRAM_addr           : std_logic_vector(15 downto 0) := x"57D6";
25
        constant VRAM_addr                      : std_logic_vector(15 downto 0) := x"57D4";
26
        constant STACK_addr                     : std_logic_vector(15 downto 0) := x"57D2";
27
 
28
        -- DE1
29
        --constant SRAM_width           : integer := 17;
30
        --constant RAMTOP_value         : std_logic_vector(15 downto 0) := x"8FFF";
31
 
32
        -- DE2-115
33
        constant SRAM_width                     : integer := 19;
34
        constant LCD_value                      : std_logic_vector(15 downto 0) := x"57E0";
35
        constant RAMTOP_value           : std_logic_vector(15 downto 0) := x"FFFF";
36
        constant RAMBOTT_value          : std_logic_vector(15 downto 0) := x"6000";
37
        constant VRAM_value                     : std_logic_vector(15 downto 0) := x"4000";
38
        constant CHARRAM_value          : std_logic_vector(15 downto 0) := x"5800";
39
        constant STACK_value                    : std_logic_vector(15 downto 0) := x"FFFF";
40
 
41
end  z80soc_pack;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.