OpenCores
URL https://opencores.org/ocsvn/z80soc/z80soc/trunk

Subversion Repositories z80soc

[/] [z80soc/] [trunk/] [V0.7.3/] [DE1/] [z80soc.qsf] - Blame information for rev 46

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 46 rrred
# Copyright (C) 1991-2007 Altera Corporation
2
# Your use of Altera Corporation's design tools, logic functions
3
# and other software and tools, and its AMPP partner logic
4
# functions, and any output files from any of the foregoing
5
# (including device programming or simulation files), and any
6
# associated documentation or information are expressly subject
7
# to the terms and conditions of the Altera Program License
8
# Subscription Agreement, Altera MegaCore Function License
9
# Agreement, or other applicable license agreement, including,
10
# without limitation, that your use is for the sole purpose of
11
# programming logic devices manufactured by Altera and sold by
12
# Altera or its authorized distributors.  Please refer to the
13
# applicable agreement for further details.
14
 
15
 
16
# The default values for assignments are stored in the file
17
#               z80soc_caps_assignment_defaults.qdf
18
# If this file doesn't exist, and for assignments not listed, see file
19
#               assignment_defaults.qdf
20
 
21
# Altera recommends that you do not modify this file. This
22
# file is updated automatically by the Quartus II software
23
# and any changes you make may be lost or overwritten.
24
 
25
 
26
set_global_assignment -name FAMILY "Cyclone II"
27
set_global_assignment -name DEVICE EP2C20F484C7
28
set_global_assignment -name TOP_LEVEL_ENTITY z80soc
29
set_global_assignment -name ORIGINAL_QUARTUS_VERSION "7.2 SP3"
30
set_global_assignment -name PROJECT_CREATION_TIME_DATE "15:43:12  MAY 01, 2008"
31
set_global_assignment -name LAST_QUARTUS_VERSION "13.0 SP1"
32
set_global_assignment -name USE_GENERATED_PHYSICAL_CONSTRAINTS OFF -section_id eda_palace
33
set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED"
34
set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "AS INPUT TRI-STATED"
35
set_global_assignment -name ENABLE_DA_RULE "C101, C102, C103, C104, C105, C106, R101, R102, R103, R104, R105, T101, T102, A101, A102, A103, A104, A105, A106, A107, A108, A109, A110, S101, S102, S103, S104, D101, D102, D103, H101, H102, M101, M102, M103, M104, M105"
36
set_location_assignment PIN_A13 -to GPIO_0[0]
37
set_location_assignment PIN_B13 -to GPIO_0[1]
38
set_location_assignment PIN_A14 -to GPIO_0[2]
39
set_location_assignment PIN_B14 -to GPIO_0[3]
40
set_location_assignment PIN_A15 -to GPIO_0[4]
41
set_location_assignment PIN_B15 -to GPIO_0[5]
42
set_location_assignment PIN_A16 -to GPIO_0[6]
43
set_location_assignment PIN_B16 -to GPIO_0[7]
44
set_location_assignment PIN_A17 -to GPIO_0[8]
45
set_location_assignment PIN_B17 -to GPIO_0[9]
46
set_location_assignment PIN_A18 -to GPIO_0[10]
47
set_location_assignment PIN_B18 -to GPIO_0[11]
48
set_location_assignment PIN_A19 -to GPIO_0[12]
49
set_location_assignment PIN_B19 -to GPIO_0[13]
50
set_location_assignment PIN_A20 -to GPIO_0[14]
51
set_location_assignment PIN_B20 -to GPIO_0[15]
52
set_location_assignment PIN_C21 -to GPIO_0[16]
53
set_location_assignment PIN_C22 -to GPIO_0[17]
54
set_location_assignment PIN_D21 -to GPIO_0[18]
55
set_location_assignment PIN_D22 -to GPIO_0[19]
56
set_location_assignment PIN_E21 -to GPIO_0[20]
57
set_location_assignment PIN_E22 -to GPIO_0[21]
58
set_location_assignment PIN_F21 -to GPIO_0[22]
59
set_location_assignment PIN_F22 -to GPIO_0[23]
60
set_location_assignment PIN_G21 -to GPIO_0[24]
61
set_location_assignment PIN_G22 -to GPIO_0[25]
62
set_location_assignment PIN_J21 -to GPIO_0[26]
63
set_location_assignment PIN_J22 -to GPIO_0[27]
64
set_location_assignment PIN_K21 -to GPIO_0[28]
65
set_location_assignment PIN_K22 -to GPIO_0[29]
66
set_location_assignment PIN_J19 -to GPIO_0[30]
67
set_location_assignment PIN_J20 -to GPIO_0[31]
68
set_location_assignment PIN_J18 -to GPIO_0[32]
69
set_location_assignment PIN_K20 -to GPIO_0[33]
70
set_location_assignment PIN_L19 -to GPIO_0[34]
71
set_location_assignment PIN_L18 -to GPIO_0[35]
72
set_location_assignment PIN_H12 -to GPIO_1[0]
73
set_location_assignment PIN_H13 -to GPIO_1[1]
74
set_location_assignment PIN_H14 -to GPIO_1[2]
75
set_location_assignment PIN_G15 -to GPIO_1[3]
76
set_location_assignment PIN_E14 -to GPIO_1[4]
77
set_location_assignment PIN_E15 -to GPIO_1[5]
78
set_location_assignment PIN_F15 -to GPIO_1[6]
79
set_location_assignment PIN_G16 -to GPIO_1[7]
80
set_location_assignment PIN_F12 -to GPIO_1[8]
81
set_location_assignment PIN_F13 -to GPIO_1[9]
82
set_location_assignment PIN_C14 -to GPIO_1[10]
83
set_location_assignment PIN_D14 -to GPIO_1[11]
84
set_location_assignment PIN_D15 -to GPIO_1[12]
85
set_location_assignment PIN_D16 -to GPIO_1[13]
86
set_location_assignment PIN_C17 -to GPIO_1[14]
87
set_location_assignment PIN_C18 -to GPIO_1[15]
88
set_location_assignment PIN_C19 -to GPIO_1[16]
89
set_location_assignment PIN_C20 -to GPIO_1[17]
90
set_location_assignment PIN_D19 -to GPIO_1[18]
91
set_location_assignment PIN_D20 -to GPIO_1[19]
92
set_location_assignment PIN_E20 -to GPIO_1[20]
93
set_location_assignment PIN_F20 -to GPIO_1[21]
94
set_location_assignment PIN_E19 -to GPIO_1[22]
95
set_location_assignment PIN_E18 -to GPIO_1[23]
96
set_location_assignment PIN_G20 -to GPIO_1[24]
97
set_location_assignment PIN_G18 -to GPIO_1[25]
98
set_location_assignment PIN_G17 -to GPIO_1[26]
99
set_location_assignment PIN_H17 -to GPIO_1[27]
100
set_location_assignment PIN_J15 -to GPIO_1[28]
101
set_location_assignment PIN_H18 -to GPIO_1[29]
102
set_location_assignment PIN_N22 -to GPIO_1[30]
103
set_location_assignment PIN_N21 -to GPIO_1[31]
104
set_location_assignment PIN_P15 -to GPIO_1[32]
105
set_location_assignment PIN_N15 -to GPIO_1[33]
106
set_location_assignment PIN_P17 -to GPIO_1[34]
107
set_location_assignment PIN_P18 -to GPIO_1[35]
108
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[0]
109
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[1]
110
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[2]
111
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[3]
112
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[4]
113
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[5]
114
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[6]
115
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[7]
116
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[8]
117
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[9]
118
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[10]
119
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[11]
120
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[12]
121
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[13]
122
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[14]
123
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[15]
124
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[16]
125
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[17]
126
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[18]
127
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[19]
128
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[20]
129
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[21]
130
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[22]
131
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[23]
132
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[24]
133
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[25]
134
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[26]
135
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[27]
136
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[28]
137
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[29]
138
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[30]
139
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[31]
140
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[32]
141
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[33]
142
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[34]
143
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[35]
144
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[0]
145
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[1]
146
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[2]
147
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[3]
148
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[4]
149
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[5]
150
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[6]
151
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[7]
152
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[8]
153
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[9]
154
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[10]
155
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[11]
156
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[12]
157
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[13]
158
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[14]
159
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[15]
160
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[16]
161
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[17]
162
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[18]
163
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[19]
164
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[20]
165
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[21]
166
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[22]
167
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[23]
168
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[24]
169
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[25]
170
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[26]
171
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[27]
172
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[28]
173
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[29]
174
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[30]
175
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[31]
176
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[32]
177
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[33]
178
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[34]
179
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[35]
180
set_location_assignment PIN_L22 -to SW[0]
181
set_location_assignment PIN_L21 -to SW[1]
182
set_location_assignment PIN_M22 -to SW[2]
183
set_location_assignment PIN_V12 -to SW[3]
184
set_location_assignment PIN_W12 -to SW[4]
185
set_location_assignment PIN_U12 -to SW[5]
186
set_location_assignment PIN_U11 -to SW[6]
187
set_location_assignment PIN_M2 -to SW[7]
188
set_location_assignment PIN_M1 -to SW[8]
189
set_location_assignment PIN_L2 -to SW[9]
190
set_instance_assignment -name IO_STANDARD LVTTL -to SW[0]
191
set_instance_assignment -name IO_STANDARD LVTTL -to SW[1]
192
set_instance_assignment -name IO_STANDARD LVTTL -to SW[2]
193
set_instance_assignment -name IO_STANDARD LVTTL -to SW[3]
194
set_instance_assignment -name IO_STANDARD LVTTL -to SW[4]
195
set_instance_assignment -name IO_STANDARD LVTTL -to SW[5]
196
set_instance_assignment -name IO_STANDARD LVTTL -to SW[6]
197
set_instance_assignment -name IO_STANDARD LVTTL -to SW[7]
198
set_instance_assignment -name IO_STANDARD LVTTL -to SW[8]
199
set_instance_assignment -name IO_STANDARD LVTTL -to SW[9]
200
set_location_assignment PIN_J2 -to HEX0[0]
201
set_location_assignment PIN_J1 -to HEX0[1]
202
set_location_assignment PIN_H2 -to HEX0[2]
203
set_location_assignment PIN_H1 -to HEX0[3]
204
set_location_assignment PIN_F2 -to HEX0[4]
205
set_location_assignment PIN_F1 -to HEX0[5]
206
set_location_assignment PIN_E2 -to HEX0[6]
207
set_location_assignment PIN_E1 -to HEX1[0]
208
set_location_assignment PIN_H6 -to HEX1[1]
209
set_location_assignment PIN_H5 -to HEX1[2]
210
set_location_assignment PIN_H4 -to HEX1[3]
211
set_location_assignment PIN_G3 -to HEX1[4]
212
set_location_assignment PIN_D2 -to HEX1[5]
213
set_location_assignment PIN_D1 -to HEX1[6]
214
set_location_assignment PIN_G5 -to HEX2[0]
215
set_location_assignment PIN_G6 -to HEX2[1]
216
set_location_assignment PIN_C2 -to HEX2[2]
217
set_location_assignment PIN_C1 -to HEX2[3]
218
set_location_assignment PIN_E3 -to HEX2[4]
219
set_location_assignment PIN_E4 -to HEX2[5]
220
set_location_assignment PIN_D3 -to HEX2[6]
221
set_location_assignment PIN_F4 -to HEX3[0]
222
set_location_assignment PIN_D5 -to HEX3[1]
223
set_location_assignment PIN_D6 -to HEX3[2]
224
set_location_assignment PIN_J4 -to HEX3[3]
225
set_location_assignment PIN_L8 -to HEX3[4]
226
set_location_assignment PIN_F3 -to HEX3[5]
227
set_location_assignment PIN_D4 -to HEX3[6]
228
set_instance_assignment -name IO_STANDARD LVTTL -to HEX0[0]
229
set_instance_assignment -name IO_STANDARD LVTTL -to HEX0[1]
230
set_instance_assignment -name IO_STANDARD LVTTL -to HEX0[2]
231
set_instance_assignment -name IO_STANDARD LVTTL -to HEX0[3]
232
set_instance_assignment -name IO_STANDARD LVTTL -to HEX0[4]
233
set_instance_assignment -name IO_STANDARD LVTTL -to HEX0[5]
234
set_instance_assignment -name IO_STANDARD LVTTL -to HEX0[6]
235
set_instance_assignment -name IO_STANDARD LVTTL -to HEX1[0]
236
set_instance_assignment -name IO_STANDARD LVTTL -to HEX1[1]
237
set_instance_assignment -name IO_STANDARD LVTTL -to HEX1[2]
238
set_instance_assignment -name IO_STANDARD LVTTL -to HEX1[3]
239
set_instance_assignment -name IO_STANDARD LVTTL -to HEX1[4]
240
set_instance_assignment -name IO_STANDARD LVTTL -to HEX1[5]
241
set_instance_assignment -name IO_STANDARD LVTTL -to HEX1[6]
242
set_instance_assignment -name IO_STANDARD LVTTL -to HEX2[0]
243
set_instance_assignment -name IO_STANDARD LVTTL -to HEX2[1]
244
set_instance_assignment -name IO_STANDARD LVTTL -to HEX2[2]
245
set_instance_assignment -name IO_STANDARD LVTTL -to HEX2[3]
246
set_instance_assignment -name IO_STANDARD LVTTL -to HEX2[4]
247
set_instance_assignment -name IO_STANDARD LVTTL -to HEX2[5]
248
set_instance_assignment -name IO_STANDARD LVTTL -to HEX2[6]
249
set_instance_assignment -name IO_STANDARD LVTTL -to HEX3[0]
250
set_instance_assignment -name IO_STANDARD LVTTL -to HEX3[1]
251
set_instance_assignment -name IO_STANDARD LVTTL -to HEX3[2]
252
set_instance_assignment -name IO_STANDARD LVTTL -to HEX3[3]
253
set_instance_assignment -name IO_STANDARD LVTTL -to HEX3[4]
254
set_instance_assignment -name IO_STANDARD LVTTL -to HEX3[5]
255
set_instance_assignment -name IO_STANDARD LVTTL -to HEX3[6]
256
set_location_assignment PIN_R22 -to KEY[0]
257
set_location_assignment PIN_R21 -to KEY[1]
258
set_location_assignment PIN_T22 -to KEY[2]
259
set_location_assignment PIN_T21 -to KEY[3]
260
set_location_assignment PIN_R20 -to LEDR[0]
261
set_location_assignment PIN_R19 -to LEDR[1]
262
set_location_assignment PIN_U19 -to LEDR[2]
263
set_location_assignment PIN_Y19 -to LEDR[3]
264
set_location_assignment PIN_T18 -to LEDR[4]
265
set_location_assignment PIN_V19 -to LEDR[5]
266
set_location_assignment PIN_Y18 -to LEDR[6]
267
set_location_assignment PIN_U18 -to LEDR[7]
268
set_location_assignment PIN_R18 -to LEDR[8]
269
set_location_assignment PIN_R17 -to LEDR[9]
270
set_location_assignment PIN_U22 -to LEDG[0]
271
set_location_assignment PIN_U21 -to LEDG[1]
272
set_location_assignment PIN_V22 -to LEDG[2]
273
set_location_assignment PIN_V21 -to LEDG[3]
274
set_location_assignment PIN_W22 -to LEDG[4]
275
set_location_assignment PIN_W21 -to LEDG[5]
276
set_location_assignment PIN_Y22 -to LEDG[6]
277
set_location_assignment PIN_Y21 -to LEDG[7]
278
set_instance_assignment -name IO_STANDARD LVTTL -to KEY[0]
279
set_instance_assignment -name IO_STANDARD LVTTL -to KEY[1]
280
set_instance_assignment -name IO_STANDARD LVTTL -to KEY[2]
281
set_instance_assignment -name IO_STANDARD LVTTL -to KEY[3]
282
set_instance_assignment -name IO_STANDARD LVTTL -to LEDR[0]
283
set_instance_assignment -name IO_STANDARD LVTTL -to LEDR[1]
284
set_instance_assignment -name IO_STANDARD LVTTL -to LEDR[2]
285
set_instance_assignment -name IO_STANDARD LVTTL -to LEDR[3]
286
set_instance_assignment -name IO_STANDARD LVTTL -to LEDR[4]
287
set_instance_assignment -name IO_STANDARD LVTTL -to LEDR[5]
288
set_instance_assignment -name IO_STANDARD LVTTL -to LEDR[6]
289
set_instance_assignment -name IO_STANDARD LVTTL -to LEDR[7]
290
set_instance_assignment -name IO_STANDARD LVTTL -to LEDR[8]
291
set_instance_assignment -name IO_STANDARD LVTTL -to LEDR[9]
292
set_instance_assignment -name IO_STANDARD LVTTL -to LEDG[0]
293
set_instance_assignment -name IO_STANDARD LVTTL -to LEDG[1]
294
set_instance_assignment -name IO_STANDARD LVTTL -to LEDG[2]
295
set_instance_assignment -name IO_STANDARD LVTTL -to LEDG[3]
296
set_instance_assignment -name IO_STANDARD LVTTL -to LEDG[4]
297
set_instance_assignment -name IO_STANDARD LVTTL -to LEDG[5]
298
set_instance_assignment -name IO_STANDARD LVTTL -to LEDG[6]
299
set_instance_assignment -name IO_STANDARD LVTTL -to LEDG[7]
300
set_location_assignment PIN_D12 -to CLOCK_27[0]
301
set_location_assignment PIN_E12 -to CLOCK_27[1]
302
set_location_assignment PIN_B12 -to CLOCK_24[0]
303
set_location_assignment PIN_A12 -to CLOCK_24[1]
304
set_location_assignment PIN_L1 -to CLOCK_50
305
set_location_assignment PIN_M21 -to EXT_CLOCK
306
set_instance_assignment -name IO_STANDARD LVTTL -to CLOCK_27[1]
307
set_instance_assignment -name IO_STANDARD LVTTL -to CLOCK_24[0]
308
set_instance_assignment -name IO_STANDARD LVTTL -to CLOCK_24[1]
309
set_instance_assignment -name IO_STANDARD LVTTL -to CLOCK_50
310
set_instance_assignment -name IO_STANDARD LVTTL -to EXT_CLOCK
311
set_location_assignment PIN_H15 -to PS2_CLK
312
set_location_assignment PIN_J14 -to PS2_DAT
313
set_location_assignment PIN_F14 -to UART_RXD
314
set_location_assignment PIN_G12 -to UART_TXD
315
set_instance_assignment -name IO_STANDARD LVTTL -to PS2_CLK
316
set_instance_assignment -name IO_STANDARD LVTTL -to PS2_DAT
317
set_instance_assignment -name IO_STANDARD LVTTL -to UART_RXD
318
set_instance_assignment -name IO_STANDARD LVTTL -to UART_TXD
319
set_location_assignment PIN_E8 -to TDI
320
set_location_assignment PIN_D8 -to TCS
321
set_location_assignment PIN_C7 -to TCK
322
set_location_assignment PIN_D7 -to TDO
323
set_instance_assignment -name IO_STANDARD LVTTL -to TDI
324
set_instance_assignment -name IO_STANDARD LVTTL -to TCS
325
set_instance_assignment -name IO_STANDARD LVTTL -to TCK
326
set_instance_assignment -name IO_STANDARD LVTTL -to TDO
327
set_location_assignment PIN_D9 -to VGA_R[0]
328
set_location_assignment PIN_C9 -to VGA_R[1]
329
set_location_assignment PIN_A7 -to VGA_R[2]
330
set_location_assignment PIN_B7 -to VGA_R[3]
331
set_location_assignment PIN_B8 -to VGA_G[0]
332
set_location_assignment PIN_C10 -to VGA_G[1]
333
set_location_assignment PIN_B9 -to VGA_G[2]
334
set_location_assignment PIN_A8 -to VGA_G[3]
335
set_location_assignment PIN_A9 -to VGA_B[0]
336
set_location_assignment PIN_D11 -to VGA_B[1]
337
set_location_assignment PIN_A10 -to VGA_B[2]
338
set_location_assignment PIN_B10 -to VGA_B[3]
339
set_location_assignment PIN_A11 -to VGA_HS
340
set_location_assignment PIN_B11 -to VGA_VS
341
set_instance_assignment -name IO_STANDARD LVTTL -to VGA_R[0]
342
set_instance_assignment -name IO_STANDARD LVTTL -to VGA_R[1]
343
set_instance_assignment -name IO_STANDARD LVTTL -to VGA_R[2]
344
set_instance_assignment -name IO_STANDARD LVTTL -to VGA_R[3]
345
set_instance_assignment -name IO_STANDARD LVTTL -to VGA_G[0]
346
set_instance_assignment -name IO_STANDARD LVTTL -to VGA_G[1]
347
set_instance_assignment -name IO_STANDARD LVTTL -to VGA_G[2]
348
set_instance_assignment -name IO_STANDARD LVTTL -to VGA_G[3]
349
set_instance_assignment -name IO_STANDARD LVTTL -to VGA_B[0]
350
set_instance_assignment -name IO_STANDARD LVTTL -to VGA_B[1]
351
set_instance_assignment -name IO_STANDARD LVTTL -to VGA_B[2]
352
set_instance_assignment -name IO_STANDARD LVTTL -to VGA_B[3]
353
set_instance_assignment -name IO_STANDARD LVTTL -to VGA_HS
354
set_instance_assignment -name IO_STANDARD LVTTL -to VGA_VS
355
set_location_assignment PIN_A3 -to I2C_SCLK
356
set_location_assignment PIN_B3 -to I2C_SDAT
357
set_location_assignment PIN_A6 -to AUD_ADCLRCK
358
set_location_assignment PIN_B6 -to AUD_ADCDAT
359
set_location_assignment PIN_A5 -to AUD_DACLRCK
360
set_location_assignment PIN_B5 -to AUD_DACDAT
361
set_location_assignment PIN_B4 -to AUD_XCK
362
set_location_assignment PIN_A4 -to AUD_BCLK
363
set_instance_assignment -name IO_STANDARD LVTTL -to I2C_SCLK
364
set_instance_assignment -name IO_STANDARD LVTTL -to I2C_SDAT
365
set_instance_assignment -name IO_STANDARD LVTTL -to AUD_ADCLRCK
366
set_instance_assignment -name IO_STANDARD LVTTL -to AUD_ADCDAT
367
set_instance_assignment -name IO_STANDARD LVTTL -to AUD_DACLRCK
368
set_instance_assignment -name IO_STANDARD LVTTL -to AUD_DACDAT
369
set_instance_assignment -name IO_STANDARD LVTTL -to AUD_XCK
370
set_instance_assignment -name IO_STANDARD LVTTL -to AUD_BCLK
371
set_location_assignment PIN_W4 -to DRAM_ADDR[0]
372
set_location_assignment PIN_W5 -to DRAM_ADDR[1]
373
set_location_assignment PIN_Y3 -to DRAM_ADDR[2]
374
set_location_assignment PIN_Y4 -to DRAM_ADDR[3]
375
set_location_assignment PIN_R6 -to DRAM_ADDR[4]
376
set_location_assignment PIN_R5 -to DRAM_ADDR[5]
377
set_location_assignment PIN_P6 -to DRAM_ADDR[6]
378
set_location_assignment PIN_P5 -to DRAM_ADDR[7]
379
set_location_assignment PIN_P3 -to DRAM_ADDR[8]
380
set_location_assignment PIN_N4 -to DRAM_ADDR[9]
381
set_location_assignment PIN_W3 -to DRAM_ADDR[10]
382
set_location_assignment PIN_N6 -to DRAM_ADDR[11]
383
set_location_assignment PIN_U3 -to DRAM_BA_0
384
set_location_assignment PIN_V4 -to DRAM_BA_1
385
set_location_assignment PIN_T3 -to DRAM_CAS_N
386
set_location_assignment PIN_N3 -to DRAM_CKE
387
set_location_assignment PIN_U4 -to DRAM_CLK
388
set_location_assignment PIN_T6 -to DRAM_CS_N
389
set_location_assignment PIN_U1 -to DRAM_DQ[0]
390
set_location_assignment PIN_U2 -to DRAM_DQ[1]
391
set_location_assignment PIN_V1 -to DRAM_DQ[2]
392
set_location_assignment PIN_V2 -to DRAM_DQ[3]
393
set_location_assignment PIN_W1 -to DRAM_DQ[4]
394
set_location_assignment PIN_W2 -to DRAM_DQ[5]
395
set_location_assignment PIN_Y1 -to DRAM_DQ[6]
396
set_location_assignment PIN_Y2 -to DRAM_DQ[7]
397
set_location_assignment PIN_N1 -to DRAM_DQ[8]
398
set_location_assignment PIN_N2 -to DRAM_DQ[9]
399
set_location_assignment PIN_P1 -to DRAM_DQ[10]
400
set_location_assignment PIN_P2 -to DRAM_DQ[11]
401
set_location_assignment PIN_R1 -to DRAM_DQ[12]
402
set_location_assignment PIN_R2 -to DRAM_DQ[13]
403
set_location_assignment PIN_T1 -to DRAM_DQ[14]
404
set_location_assignment PIN_T2 -to DRAM_DQ[15]
405
set_location_assignment PIN_R7 -to DRAM_LDQM
406
set_location_assignment PIN_T5 -to DRAM_RAS_N
407
set_location_assignment PIN_M5 -to DRAM_UDQM
408
set_location_assignment PIN_R8 -to DRAM_WE_N
409
set_location_assignment PIN_AB20 -to FL_ADDR[0]
410
set_location_assignment PIN_AA14 -to FL_ADDR[1]
411
set_location_assignment PIN_Y16 -to FL_ADDR[2]
412
set_location_assignment PIN_R15 -to FL_ADDR[3]
413
set_location_assignment PIN_T15 -to FL_ADDR[4]
414
set_location_assignment PIN_U15 -to FL_ADDR[5]
415
set_location_assignment PIN_V15 -to FL_ADDR[6]
416
set_location_assignment PIN_W15 -to FL_ADDR[7]
417
set_location_assignment PIN_R14 -to FL_ADDR[8]
418
set_location_assignment PIN_Y13 -to FL_ADDR[9]
419
set_location_assignment PIN_R12 -to FL_ADDR[10]
420
set_location_assignment PIN_T12 -to FL_ADDR[11]
421
set_location_assignment PIN_AB14 -to FL_ADDR[12]
422
set_location_assignment PIN_AA13 -to FL_ADDR[13]
423
set_location_assignment PIN_AB13 -to FL_ADDR[14]
424
set_location_assignment PIN_AA12 -to FL_ADDR[15]
425
set_location_assignment PIN_AB12 -to FL_ADDR[16]
426
set_location_assignment PIN_AA20 -to FL_ADDR[17]
427
set_location_assignment PIN_U14 -to FL_ADDR[18]
428
set_location_assignment PIN_V14 -to FL_ADDR[19]
429
set_location_assignment PIN_U13 -to FL_ADDR[20]
430
set_location_assignment PIN_R13 -to FL_ADDR[21]
431
set_location_assignment PIN_AB16 -to FL_DQ[0]
432
set_location_assignment PIN_AA16 -to FL_DQ[1]
433
set_location_assignment PIN_AB17 -to FL_DQ[2]
434
set_location_assignment PIN_AA17 -to FL_DQ[3]
435
set_location_assignment PIN_AB18 -to FL_DQ[4]
436
set_location_assignment PIN_AA18 -to FL_DQ[5]
437
set_location_assignment PIN_AB19 -to FL_DQ[6]
438
set_location_assignment PIN_AA19 -to FL_DQ[7]
439
set_location_assignment PIN_AA15 -to FL_OE_N
440
set_location_assignment PIN_W14 -to FL_RST_N
441
set_location_assignment PIN_Y14 -to FL_WE_N
442
set_location_assignment PIN_AA3 -to SRAM_ADDR[0]
443
set_location_assignment PIN_AB3 -to SRAM_ADDR[1]
444
set_location_assignment PIN_AA4 -to SRAM_ADDR[2]
445
set_location_assignment PIN_AB4 -to SRAM_ADDR[3]
446
set_location_assignment PIN_AA5 -to SRAM_ADDR[4]
447
set_location_assignment PIN_AB10 -to SRAM_ADDR[5]
448
set_location_assignment PIN_AA11 -to SRAM_ADDR[6]
449
set_location_assignment PIN_AB11 -to SRAM_ADDR[7]
450
set_location_assignment PIN_V11 -to SRAM_ADDR[8]
451
set_location_assignment PIN_W11 -to SRAM_ADDR[9]
452
set_location_assignment PIN_R11 -to SRAM_ADDR[10]
453
set_location_assignment PIN_T11 -to SRAM_ADDR[11]
454
set_location_assignment PIN_Y10 -to SRAM_ADDR[12]
455
set_location_assignment PIN_U10 -to SRAM_ADDR[13]
456
set_location_assignment PIN_R10 -to SRAM_ADDR[14]
457
set_location_assignment PIN_T7 -to SRAM_ADDR[15]
458
set_location_assignment PIN_Y6 -to SRAM_ADDR[16]
459
set_location_assignment PIN_Y5 -to SRAM_ADDR[17]
460
set_location_assignment PIN_AB5 -to SRAM_CE_N
461
set_location_assignment PIN_AA6 -to SRAM_DQ[0]
462
set_location_assignment PIN_AB6 -to SRAM_DQ[1]
463
set_location_assignment PIN_AA7 -to SRAM_DQ[2]
464
set_location_assignment PIN_AB7 -to SRAM_DQ[3]
465
set_location_assignment PIN_AA8 -to SRAM_DQ[4]
466
set_location_assignment PIN_AB8 -to SRAM_DQ[5]
467
set_location_assignment PIN_AA9 -to SRAM_DQ[6]
468
set_location_assignment PIN_AB9 -to SRAM_DQ[7]
469
set_location_assignment PIN_Y9 -to SRAM_DQ[8]
470
set_location_assignment PIN_W9 -to SRAM_DQ[9]
471
set_location_assignment PIN_V9 -to SRAM_DQ[10]
472
set_location_assignment PIN_U9 -to SRAM_DQ[11]
473
set_location_assignment PIN_R9 -to SRAM_DQ[12]
474
set_location_assignment PIN_W8 -to SRAM_DQ[13]
475
set_location_assignment PIN_V8 -to SRAM_DQ[14]
476
set_location_assignment PIN_U8 -to SRAM_DQ[15]
477
set_location_assignment PIN_Y7 -to SRAM_LB_N
478
set_location_assignment PIN_T8 -to SRAM_OE_N
479
set_location_assignment PIN_W7 -to SRAM_UB_N
480
set_location_assignment PIN_AA10 -to SRAM_WE_N
481
set_global_assignment -name END_TIME "10000 us"
482
set_global_assignment -name ADD_DEFAULT_PINS_TO_SIMULATION_OUTPUT_WAVEFORMS OFF
483
set_global_assignment -name SMART_RECOMPILE ON
484
set_global_assignment -name AUTO_SHIFT_REGISTER_RECOGNITION OFF
485
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
486
set_global_assignment -name PARTITION_COLOR 2147039 -section_id Top
487
set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region"
488
set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region"
489
set_parameter -name CYCLONEII_SAFE_WRITE "\"RESTRUCTURE\"" -to "vram8k:vram8k_inst"
490
set_global_assignment -name MISC_FILE "C:/Users/Ronivon/Desktop/FPGA Projetos/Z80SoC/V0.7/DE1/z80soc.dpf"
491
set_global_assignment -name FITTER_EFFORT "FAST FIT"
492
set_global_assignment -name VHDL_FILE memoryCores/vram.vhd
493
set_global_assignment -name VHDL_FILE memoryCores/charram.vhd
494
set_global_assignment -name VHDL_FILE vhdl/lcd.vhd
495
set_global_assignment -name VHDL_FILE memoryCores/rom.vhd
496
set_global_assignment -name VHDL_FILE vhdl/keyboard.VHD
497
set_global_assignment -name VHDL_FILE vhdl/ps2bkd.vhd
498
set_global_assignment -name VHDL_FILE vhdl/T80.vhd
499
set_global_assignment -name VHDL_FILE vhdl/T80_ALU.vhd
500
set_global_assignment -name VHDL_FILE vhdl/T80_MCode.vhd
501
set_global_assignment -name VHDL_FILE vhdl/T80_Pack.vhd
502
set_global_assignment -name VHDL_FILE vhdl/T80_Reg.vhd
503
set_global_assignment -name VHDL_FILE vhdl/T80se.vhd
504
set_global_assignment -name VHDL_FILE vhdl/char_rom.VHD
505
set_global_assignment -name VHDL_FILE vhdl/video.vhd
506
set_global_assignment -name VHDL_FILE vhdl/clk_div.vhd
507
set_global_assignment -name VHDL_FILE vhdl/decoder_7seg.vhd
508
set_global_assignment -name VHDL_FILE vhdl/z80soc.vhd
509
set_global_assignment -name VHDL_FILE vhdl/vga_sync.vhd
510
set_global_assignment -name VHDL_FILE vhdl/z80soc_pack.vhd
511
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
512
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.