OpenCores
URL https://opencores.org/ocsvn/z80soc/z80soc/trunk

Subversion Repositories z80soc

[/] [z80soc/] [trunk/] [V0.7.3/] [DE2115/] [073DE2115d.pin] - Blame information for rev 46

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 46 rrred
 -- Copyright (C) 1991-2013 Altera Corporation
2
 -- Your use of Altera Corporation's design tools, logic functions
3
 -- and other software and tools, and its AMPP partner logic
4
 -- functions, and any output files from any of the foregoing
5
 -- (including device programming or simulation files), and any
6
 -- associated documentation or information are expressly subject
7
 -- to the terms and conditions of the Altera Program License
8
 -- Subscription Agreement, Altera MegaCore Function License
9
 -- Agreement, or other applicable license agreement, including,
10
 -- without limitation, that your use is for the sole purpose of
11
 -- programming logic devices manufactured by Altera and sold by
12
 -- Altera or its authorized distributors.  Please refer to the
13
 -- applicable agreement for further details.
14
 --
15
 -- This is a Quartus II output file. It is for reporting purposes only, and is
16
 -- not intended for use as a Quartus II input file. This file cannot be used
17
 -- to make Quartus II pin assignments - for instructions on how to make pin
18
 -- assignments, please see Quartus II help.
19
 ---------------------------------------------------------------------------------
20
 
21
 
22
 
23
 ---------------------------------------------------------------------------------
24
 -- NC            : No Connect. This pin has no internal connection to the device.
25
 -- DNU           : Do Not Use. This pin MUST NOT be connected.
26
 -- VCCINT        : Dedicated power pin, which MUST be connected to VCC  (1.2V).
27
 -- VCCIO         : Dedicated power pin, which MUST be connected to VCC
28
 --                 of its bank.
29
 --                                     Bank 1:         3.3V
30
 --                                     Bank 2:         3.3V
31
 --                                     Bank 3:         3.3V
32
 --                                     Bank 4:         3.3V
33
 --                                     Bank 5:         3.3V
34
 --                                     Bank 6:         3.3V
35
 --                                     Bank 7:         2.5V
36
 --                                     Bank 8:         3.3V
37
 -- GND           : Dedicated ground pin. Dedicated GND pins MUST be connected to GND.
38
 --                                     It can also be used to report unused dedicated pins. The connection
39
 --                                     on the board for unused dedicated pins depends on whether this will
40
 --                                     be used in a future design. One example is device migration. When
41
 --                                     using device migration, refer to the device pin-tables. If it is a
42
 --                                     GND pin in the pin table or if it will not be used in a future design
43
 --                                     for another purpose the it MUST be connected to GND. If it is an unused
44
 --                                     dedicated pin, then it can be connected to a valid signal on the board
45
 --                                     (low, high, or toggling) if that signal is required for a different
46
 --                                     revision of the design.
47
 -- GND+          : Unused input pin. It can also be used to report unused dual-purpose pins.
48
 --                                     This pin should be connected to GND. It may also be connected  to a
49
 --                                     valid signal  on the board  (low, high, or toggling)  if that signal
50
 --                                     is required for a different revision of the design.
51
 -- GND*          : Unused  I/O  pin. Connect each pin marked GND* directly to GND
52
 --                 or leave it unconnected.
53
 -- RESERVED      : Unused I/O pin, which MUST be left unconnected.
54
 -- RESERVED_INPUT    : Pin is tri-stated and should be connected to the board.
55
 -- RESERVED_INPUT_WITH_WEAK_PULLUP    : Pin is tri-stated with internal weak pull-up resistor.
56
 -- RESERVED_INPUT_WITH_BUS_HOLD       : Pin is tri-stated with bus-hold circuitry.
57
 -- RESERVED_OUTPUT_DRIVEN_HIGH        : Pin is output driven high.
58
 ---------------------------------------------------------------------------------
59
 
60
 
61
 
62
 ---------------------------------------------------------------------------------
63
 -- Pin directions (input, output or bidir) are based on device operating in user mode.
64
 ---------------------------------------------------------------------------------
65
 
66
Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition
67
CHIP  "073DE2115d"  ASSIGNED TO AN: EP4CE115F29C7
68
 
69
Pin Name/Usage               : Location  : Dir.   : I/O Standard      : Voltage : I/O Bank  : User Assignment
70
-------------------------------------------------------------------------------------------------------------
71
VCCIO8                       : A2        : power  :                   : 3.3V    : 8         :
72
RESERVED_INPUT_WITH_WEAK_PULLUP : A3        :        :                   :         : 8         :
73
RESERVED_INPUT_WITH_WEAK_PULLUP : A4        :        :                   :         : 8         :
74
VCCIO8                       : A5        : power  :                   : 3.3V    : 8         :
75
RESERVED_INPUT_WITH_WEAK_PULLUP : A6        :        :                   :         : 8         :
76
RESERVED_INPUT_WITH_WEAK_PULLUP : A7        :        :                   :         : 8         :
77
RESERVED_INPUT_WITH_WEAK_PULLUP : A8        :        :                   :         : 8         :
78
VCCIO8                       : A9        : power  :                   : 3.3V    : 8         :
79
VGA_B[1]                     : A10       : output : 3.3-V LVTTL       :         : 8         : Y
80
VGA_B[4]                     : A11       : output : 3.3-V LVTTL       :         : 8         : Y
81
VGA_CLK                      : A12       : output : 3.3-V LVTTL       :         : 8         : Y
82
VCCIO8                       : A13       : power  :                   : 3.3V    : 8         :
83
GND+                         : A14       :        :                   :         : 8         :
84
GND+                         : A15       :        :                   :         : 7         :
85
VCCIO7                       : A16       : power  :                   : 2.5V    : 7         :
86
RESERVED_INPUT_WITH_WEAK_PULLUP : A17       :        :                   :         : 7         :
87
RESERVED_INPUT_WITH_WEAK_PULLUP : A18       :        :                   :         : 7         :
88
RESERVED_INPUT_WITH_WEAK_PULLUP : A19       :        :                   :         : 7         :
89
VCCIO7                       : A20       : power  :                   : 2.5V    : 7         :
90
RESERVED_INPUT_WITH_WEAK_PULLUP : A21       :        :                   :         : 7         :
91
RESERVED_INPUT_WITH_WEAK_PULLUP : A22       :        :                   :         : 7         :
92
RESERVED_INPUT_WITH_WEAK_PULLUP : A23       :        :                   :         : 7         :
93
VCCIO7                       : A24       : power  :                   : 2.5V    : 7         :
94
RESERVED_INPUT_WITH_WEAK_PULLUP : A25       :        :                   :         : 7         :
95
RESERVED_INPUT_WITH_WEAK_PULLUP : A26       :        :                   :         : 7         :
96
VCCIO7                       : A27       : power  :                   : 2.5V    : 7         :
97
VCCIO2                       : AA1       : power  :                   : 3.3V    : 2         :
98
GND                          : AA2       : gnd    :                   :         :           :
99
DRAM_DQ[11]                  : AA3       : bidir  : 3.3-V LVTTL       :         : 2         : Y
100
SRAM_ADDR[14]                : AA4       : output : 3.3-V LVTTL       :         : 2         : Y
101
DRAM_ADDR[11]                : AA5       : output : 3.3-V LVTTL       :         : 2         : Y
102
DRAM_CKE                     : AA6       : output : 3.3-V LVTTL       :         : 2         : Y
103
DRAM_ADDR[7]                 : AA7       : output : 3.3-V LVTTL       :         : 2         : Y
104
FL_ADDR[16]                  : AA8       : output : 3.3-V LVTTL       :         : 3         : Y
105
GNDA1                        : AA9       : gnd    :                   :         :           :
106
FL_ADDR[12]                  : AA10      : output : 3.3-V LVTTL       :         : 3         : Y
107
VCCIO3                       : AA11      : power  :                   : 3.3V    : 3         :
108
FL_ADDR[6]                   : AA12      : output : 3.3-V LVTTL       :         : 3         : Y
109
FL_ADDR[5]                   : AA13      : output : 3.3-V LVTTL       :         : 3         : Y
110
HEX7[6]                      : AA14      : output : 3.3-V LVTTL       :         : 3         : Y
111
HEX6[5]                      : AA15      : output : 3.3-V LVTTL       :         : 4         : Y
112
HEX6[2]                      : AA16      : output : 3.3-V LVTTL       :         : 4         : Y
113
HEX6[0]                      : AA17      : output : 3.3-V LVTTL       :         : 4         : Y
114
VCCIO4                       : AA18      : power  :                   : 3.3V    : 4         :
115
HEX4[1]                      : AA19      : output : 3.3-V LVTTL       :         : 4         : Y
116
GNDA4                        : AA20      : gnd    :                   :         :           :
117
HEX3[3]                      : AA21      : output : 3.3-V LVTTL       :         : 4         : Y
118
SW[15]                       : AA22      : input  : 3.3-V LVTTL       :         : 5         : Y
119
SW[14]                       : AA23      : input  : 3.3-V LVTTL       :         : 5         : Y
120
SW[13]                       : AA24      : input  : 3.3-V LVTTL       :         : 5         : Y
121
HEX2[0]                      : AA25      : output : 3.3-V LVTTL       :         : 5         : Y
122
HEX2[1]                      : AA26      : output : 3.3-V LVTTL       :         : 5         : Y
123
GND                          : AA27      : gnd    :                   :         :           :
124
VCCIO5                       : AA28      : power  :                   : 3.3V    : 5         :
125
DRAM_DQ[10]                  : AB1       : bidir  : 3.3-V LVTTL       :         : 2         : Y
126
DRAM_DQ[12]                  : AB2       : bidir  : 3.3-V LVTTL       :         : 2         : Y
127
DRAM_DQ[14]                  : AB3       : bidir  : 3.3-V LVTTL       :         : 2         : Y
128
SRAM_ADDR[12]                : AB4       : output : 3.3-V LVTTL       :         : 2         : Y
129
SRAM_ADDR[6]                 : AB5       : output : 3.3-V LVTTL       :         : 2         : Y
130
SRAM_ADDR[4]                 : AB6       : output : 3.3-V LVTTL       :         : 2         : Y
131
SRAM_ADDR[0]                 : AB7       : output : 3.3-V LVTTL       :         : 3         : Y
132
SRAM_ADDR[18]                : AB8       : output : 3.3-V LVTTL       :         : 3         : Y
133
SRAM_ADDR[17]                : AB9       : output : 3.3-V LVTTL       :         : 3         : Y
134
FL_ADDR[9]                   : AB10      : output : 3.3-V LVTTL       :         : 3         : Y
135
SRAM_ADDR[15]                : AB11      : output : 3.3-V LVTTL       :         : 3         : Y
136
FL_ADDR[8]                   : AB12      : output : 3.3-V LVTTL       :         : 3         : Y
137
FL_ADDR[7]                   : AB13      : output : 3.3-V LVTTL       :         : 3         : Y
138
SD_DAT2                      : AB14      : bidir  : 3.3-V LVTTL       :         : 3         : Y
139
HEX6[4]                      : AB15      : output : 3.3-V LVTTL       :         : 4         : Y
140
HEX6[1]                      : AB16      : output : 3.3-V LVTTL       :         : 4         : Y
141
HEX6[3]                      : AB17      : output : 3.3-V LVTTL       :         : 4         : Y
142
HEX5[2]                      : AB18      : output : 3.3-V LVTTL       :         : 4         : Y
143
HEX4[0]                      : AB19      : output : 3.3-V LVTTL       :         : 4         : Y
144
HEX3[2]                      : AB20      : output : 3.3-V LVTTL       :         : 4         : Y
145
RESERVED_INPUT_WITH_WEAK_PULLUP : AB21      :        :                   :         : 4         :
146
LCD_ON                       : AB22      : output : 3.3-V LVTTL       :         : 4         : Y
147
SW[12]                       : AB23      : input  : 3.3-V LVTTL       :         : 5         : Y
148
SW[11]                       : AB24      : input  : 3.3-V LVTTL       :         : 5         : Y
149
SW[9]                        : AB25      : input  : 3.3-V LVTTL       :         : 5         : Y
150
SW[7]                        : AB26      : input  : 3.3-V LVTTL       :         : 5         : Y
151
SW[4]                        : AB27      : input  : 3.3-V LVTTL       :         : 5         : Y
152
SW[0]                        : AB28      : input  : 3.3-V LVTTL       :         : 5         : Y
153
DRAM_DQ[13]                  : AC1       : bidir  : 3.3-V LVTTL       :         : 2         : Y
154
DRAM_DQ[15]                  : AC2       : bidir  : 3.3-V LVTTL       :         : 2         : Y
155
SRAM_ADDR[13]                : AC3       : output : 3.3-V LVTTL       :         : 2         : Y
156
SRAM_UB_N                    : AC4       : output : 3.3-V LVTTL       :         : 2         : Y
157
SRAM_ADDR[7]                 : AC5       : output : 3.3-V LVTTL       :         : 2         : Y
158
GND                          : AC6       : gnd    :                   :         :           :
159
SRAM_ADDR[3]                 : AC7       : output : 3.3-V LVTTL       :         : 3         : Y
160
FL_ADDR[14]                  : AC8       : output : 3.3-V LVTTL       :         : 3         : Y
161
GND                          : AC9       : gnd    :                   :         :           :
162
FL_WE_N                      : AC10      : output : 3.3-V LVTTL       :         : 3         : Y
163
SRAM_ADDR[16]                : AC11      : output : 3.3-V LVTTL       :         : 3         : Y
164
FL_ADDR[18]                  : AC12      : output : 3.3-V LVTTL       :         : 3         : Y
165
GND                          : AC13      : gnd    :                   :         :           :
166
SD_DAT3                      : AC14      : output : 3.3-V LVTTL       :         : 3         : Y
167
LCD_BLON                     : AC15      : output : 3.3-V LVTTL       :         : 4         : Y
168
GND                          : AC16      : gnd    :                   :         :           :
169
HEX6[6]                      : AC17      : output : 3.3-V LVTTL       :         : 4         : Y
170
HEX5[1]                      : AC18      : output : 3.3-V LVTTL       :         : 4         : Y
171
RESERVED_INPUT_WITH_WEAK_PULLUP : AC19      :        :                   :         : 4         :
172
GND                          : AC20      : gnd    :                   :         :           :
173
RESERVED_INPUT_WITH_WEAK_PULLUP : AC21      :        :                   :         : 4         :
174
LCD_DATA[3]                  : AC22      : bidir  : 3.3-V LVTTL       :         : 4         : Y
175
GND                          : AC23      : gnd    :                   :         :           :
176
SW[10]                       : AC24      : input  : 3.3-V LVTTL       :         : 5         : Y
177
SW[8]                        : AC25      : input  : 3.3-V LVTTL       :         : 5         : Y
178
SW[5]                        : AC26      : input  : 3.3-V LVTTL       :         : 5         : Y
179
SW[2]                        : AC27      : input  : 3.3-V LVTTL       :         : 5         : Y
180
SW[1]                        : AC28      : input  : 3.3-V LVTTL       :         : 5         : Y
181
SRAM_DQ[8]                   : AD1       : bidir  : 3.3-V LVTTL       :         : 2         : Y
182
SRAM_DQ[9]                   : AD2       : bidir  : 3.3-V LVTTL       :         : 2         : Y
183
SRAM_ADDR[11]                : AD3       : output : 3.3-V LVTTL       :         : 2         : Y
184
SRAM_LB_N                    : AD4       : output : 3.3-V LVTTL       :         : 3         : Y
185
SRAM_OE_N                    : AD5       : output : 3.3-V LVTTL       :         : 3         : Y
186
VCCIO3                       : AD6       : power  :                   : 3.3V    : 3         :
187
SRAM_ADDR[1]                 : AD7       : output : 3.3-V LVTTL       :         : 3         : Y
188
FL_ADDR[13]                  : AD8       : output : 3.3-V LVTTL       :         : 3         : Y
189
VCCIO3                       : AD9       : power  :                   : 3.3V    : 3         :
190
FL_ADDR[21]                  : AD10      : output : 3.3-V LVTTL       :         : 3         : Y
191
FL_ADDR[22]                  : AD11      : output : 3.3-V LVTTL       :         : 3         : Y
192
FL_ADDR[19]                  : AD12      : output : 3.3-V LVTTL       :         : 3         : Y
193
VCCIO3                       : AD13      : power  :                   : 3.3V    : 3         :
194
SD_CMD                       : AD14      : output : 3.3-V LVTTL       :         : 3         : Y
195
RESERVED_INPUT_WITH_WEAK_PULLUP : AD15      :        :                   :         : 4         :
196
VCCIO4                       : AD16      : power  :                   : 3.3V    : 4         :
197
HEX7[0]                      : AD17      : output : 3.3-V LVTTL       :         : 4         : Y
198
HEX5[0]                      : AD18      : output : 3.3-V LVTTL       :         : 4         : Y
199
RESERVED_INPUT_WITH_WEAK_PULLUP : AD19      :        :                   :         : 4         :
200
VCCIO4                       : AD20      : power  :                   : 3.3V    : 4         :
201
RESERVED_INPUT_WITH_WEAK_PULLUP : AD21      :        :                   :         : 4         :
202
LCD_DATA[5]                  : AD22      : bidir  : 3.3-V LVTTL       :         : 4         : Y
203
VCCIO4                       : AD23      : power  :                   : 3.3V    : 4         :
204
HEX3[4]                      : AD24      : output : 3.3-V LVTTL       :         : 4         : Y
205
LCD_DATA[6]                  : AD25      : bidir  : 3.3-V LVTTL       :         : 4         : Y
206
SW[6]                        : AD26      : input  : 3.3-V LVTTL       :         : 5         : Y
207
SW[3]                        : AD27      : input  : 3.3-V LVTTL       :         : 5         : Y
208
RESERVED_INPUT_WITH_WEAK_PULLUP : AD28      :        :                   :         : 5         :
209
SRAM_DQ[11]                  : AE1       : bidir  : 3.3-V LVTTL       :         : 2         : Y
210
SRAM_DQ[10]                  : AE2       : bidir  : 3.3-V LVTTL       :         : 2         : Y
211
SRAM_DQ[12]                  : AE3       : bidir  : 3.3-V LVTTL       :         : 2         : Y
212
SRAM_DQ[13]                  : AE4       : bidir  : 3.3-V LVTTL       :         : 3         : Y
213
DRAM_CLK                     : AE5       : output : 3.3-V LVTTL       :         : 3         : Y
214
SRAM_ADDR[5]                 : AE6       : output : 3.3-V LVTTL       :         : 3         : Y
215
SRAM_ADDR[2]                 : AE7       : output : 3.3-V LVTTL       :         : 3         : Y
216
SRAM_WE_N                    : AE8       : output : 3.3-V LVTTL       :         : 3         : Y
217
FL_ADDR[10]                  : AE9       : output : 3.3-V LVTTL       :         : 3         : Y
218
FL_ADDR[20]                  : AE10      : output : 3.3-V LVTTL       :         : 3         : Y
219
FL_RST_N                     : AE11      : output : 3.3-V LVTTL       :         : 3         : Y
220
FL_WP_N                      : AE12      : output : 3.3-V LVTTL       :         : 3         : Y
221
SD_CLK                       : AE13      : output : 3.3-V LVTTL       :         : 3         : Y
222
SD_DAT0                      : AE14      : input  : 3.3-V LVTTL       :         : 3         : Y
223
RESERVED_INPUT_WITH_WEAK_PULLUP : AE15      :        :                   :         : 4         :
224
LCD_EN                       : AE16      : output : 3.3-V LVTTL       :         : 4         : Y
225
HEX7[1]                      : AE17      : output : 3.3-V LVTTL       :         : 4         : Y
226
HEX4[6]                      : AE18      : output : 3.3-V LVTTL       :         : 4         : Y
227
HEX4[4]                      : AE19      : output : 3.3-V LVTTL       :         : 4         : Y
228
RESERVED_INPUT_WITH_WEAK_PULLUP : AE20      :        :                   :         : 4         :
229
LCD_DATA[2]                  : AE21      : bidir  : 3.3-V LVTTL       :         : 4         : Y
230
RESERVED_INPUT_WITH_WEAK_PULLUP : AE22      :        :                   :         : 4         :
231
RESERVED_INPUT_WITH_WEAK_PULLUP : AE23      :        :                   :         : 4         :
232
RESERVED_INPUT_WITH_WEAK_PULLUP : AE24      :        :                   :         : 4         :
233
LCD_DATA[7]                  : AE25      : bidir  : 3.3-V LVTTL       :         : 4         : Y
234
RESERVED_INPUT_WITH_WEAK_PULLUP : AE26      :        :                   :         : 5         :
235
RESERVED_INPUT_WITH_WEAK_PULLUP : AE27      :        :                   :         : 5         :
236
RESERVED_INPUT_WITH_WEAK_PULLUP : AE28      :        :                   :         : 5         :
237
GND                          : AF1       : gnd    :                   :         :           :
238
SRAM_ADDR[10]                : AF2       : output : 3.3-V LVTTL       :         : 2         : Y
239
SRAM_DQ[14]                  : AF3       : bidir  : 3.3-V LVTTL       :         : 3         : Y
240
SRAM_DQ[1]                   : AF4       : bidir  : 3.3-V LVTTL       :         : 3         : Y
241
SRAM_ADDR[8]                 : AF5       : output : 3.3-V LVTTL       :         : 3         : Y
242
SRAM_DQ[4]                   : AF6       : bidir  : 3.3-V LVTTL       :         : 3         : Y
243
SRAM_DQ[7]                   : AF7       : bidir  : 3.3-V LVTTL       :         : 3         : Y
244
SRAM_CE_N                    : AF8       : output : 3.3-V LVTTL       :         : 3         : Y
245
FL_ADDR[11]                  : AF9       : output : 3.3-V LVTTL       :         : 3         : Y
246
FL_DQ[1]                     : AF10      : bidir  : 3.3-V LVTTL       :         : 3         : Y
247
FL_DQ[4]                     : AF11      : bidir  : 3.3-V LVTTL       :         : 3         : Y
248
FL_DQ[7]                     : AF12      : bidir  : 3.3-V LVTTL       :         : 3         : Y
249
SD_DAT1                      : AF13      : bidir  : 3.3-V LVTTL       :         : 3         : Y
250
RESERVED_INPUT_WITH_WEAK_PULLUP : AF14      :        :                   :         : 3         :
251
LCD_DATA[1]                  : AF15      : bidir  : 3.3-V LVTTL       :         : 4         : Y
252
LCD_DATA[0]                  : AF16      : bidir  : 3.3-V LVTTL       :         : 4         : Y
253
HEX7[4]                      : AF17      : output : 3.3-V LVTTL       :         : 4         : Y
254
HEX5[5]                      : AF18      : output : 3.3-V LVTTL       :         : 4         : Y
255
HEX4[5]                      : AF19      : output : 3.3-V LVTTL       :         : 4         : Y
256
RESERVED_INPUT_WITH_WEAK_PULLUP : AF20      :        :                   :         : 4         :
257
LCD_DATA[4]                  : AF21      : bidir  : 3.3-V LVTTL       :         : 4         : Y
258
RESERVED_INPUT_WITH_WEAK_PULLUP : AF22      :        :                   :         : 4         :
259
HEX3[5]                      : AF23      : output : 3.3-V LVTTL       :         : 4         : Y
260
RESERVED_INPUT_WITH_WEAK_PULLUP : AF24      :        :                   :         : 4         :
261
RESERVED_INPUT_WITH_WEAK_PULLUP : AF25      :        :                   :         : 4         :
262
RESERVED_INPUT_WITH_WEAK_PULLUP : AF26      :        :                   :         : 4         :
263
RESERVED_INPUT_WITH_WEAK_PULLUP : AF27      :        :                   :         : 5         :
264
GND                          : AF28      : gnd    :                   :         :           :
265
VCCIO2                       : AG1       : power  :                   : 3.3V    : 2         :
266
GND                          : AG2       : gnd    :                   :         :           :
267
SRAM_DQ[15]                  : AG3       : bidir  : 3.3-V LVTTL       :         : 3         : Y
268
SRAM_DQ[2]                   : AG4       : bidir  : 3.3-V LVTTL       :         : 3         : Y
269
GND                          : AG5       : gnd    :                   :         :           :
270
SRAM_DQ[5]                   : AG6       : bidir  : 3.3-V LVTTL       :         : 3         : Y
271
FL_CE_N                      : AG7       : output : 3.3-V LVTTL       :         : 3         : Y
272
FL_OE_N                      : AG8       : output : 3.3-V LVTTL       :         : 3         : Y
273
GND                          : AG9       : gnd    :                   :         :           :
274
FL_DQ[2]                     : AG10      : bidir  : 3.3-V LVTTL       :         : 3         : Y
275
FL_DQ[5]                     : AG11      : bidir  : 3.3-V LVTTL       :         : 3         : Y
276
FL_ADDR[0]                   : AG12      : output : 3.3-V LVTTL       :         : 3         : Y
277
GND                          : AG13      : gnd    :                   :         :           :
278
GND+                         : AG14      :        :                   :         : 3         :
279
GND+                         : AG15      :        :                   :         : 4         :
280
GND                          : AG16      : gnd    :                   :         :           :
281
HEX7[2]                      : AG17      : output : 3.3-V LVTTL       :         : 4         : Y
282
HEX7[5]                      : AG18      : output : 3.3-V LVTTL       :         : 4         : Y
283
HEX5[4]                      : AG19      : output : 3.3-V LVTTL       :         : 4         : Y
284
GND                          : AG20      : gnd    :                   :         :           :
285
HEX4[2]                      : AG21      : output : 3.3-V LVTTL       :         : 4         : Y
286
RESERVED_INPUT_WITH_WEAK_PULLUP : AG22      :        :                   :         : 4         :
287
RESERVED_INPUT_WITH_WEAK_PULLUP : AG23      :        :                   :         : 4         :
288
GND                          : AG24      : gnd    :                   :         :           :
289
RESERVED_INPUT_WITH_WEAK_PULLUP : AG25      :        :                   :         : 4         :
290
RESERVED_INPUT_WITH_WEAK_PULLUP : AG26      :        :                   :         : 4         :
291
GND                          : AG27      : gnd    :                   :         :           :
292
VCCIO5                       : AG28      : power  :                   : 3.3V    : 5         :
293
VCCIO3                       : AH2       : power  :                   : 3.3V    : 3         :
294
SRAM_DQ[0]                   : AH3       : bidir  : 3.3-V LVTTL       :         : 3         : Y
295
SRAM_DQ[3]                   : AH4       : bidir  : 3.3-V LVTTL       :         : 3         : Y
296
VCCIO3                       : AH5       : power  :                   : 3.3V    : 3         :
297
SRAM_DQ[6]                   : AH6       : bidir  : 3.3-V LVTTL       :         : 3         : Y
298
FL_ADDR[1]                   : AH7       : output : 3.3-V LVTTL       :         : 3         : Y
299
FL_DQ[0]                     : AH8       : bidir  : 3.3-V LVTTL       :         : 3         : Y
300
VCCIO3                       : AH9       : power  :                   : 3.3V    : 3         :
301
FL_DQ[3]                     : AH10      : bidir  : 3.3-V LVTTL       :         : 3         : Y
302
FL_DQ[6]                     : AH11      : bidir  : 3.3-V LVTTL       :         : 3         : Y
303
FL_ADDR[17]                  : AH12      : output : 3.3-V LVTTL       :         : 3         : Y
304
VCCIO3                       : AH13      : power  :                   : 3.3V    : 3         :
305
GND+                         : AH14      :        :                   :         : 3         :
306
GND+                         : AH15      :        :                   :         : 4         :
307
VCCIO4                       : AH16      : power  :                   : 3.3V    : 4         :
308
HEX7[3]                      : AH17      : output : 3.3-V LVTTL       :         : 4         : Y
309
HEX5[6]                      : AH18      : output : 3.3-V LVTTL       :         : 4         : Y
310
HEX5[3]                      : AH19      : output : 3.3-V LVTTL       :         : 4         : Y
311
VCCIO4                       : AH20      : power  :                   : 3.3V    : 4         :
312
HEX4[3]                      : AH21      : output : 3.3-V LVTTL       :         : 4         : Y
313
RESERVED_INPUT_WITH_WEAK_PULLUP : AH22      :        :                   :         : 4         :
314
RESERVED_INPUT_WITH_WEAK_PULLUP : AH23      :        :                   :         : 4         :
315
VCCIO4                       : AH24      : power  :                   : 3.3V    : 4         :
316
RESERVED_INPUT_WITH_WEAK_PULLUP : AH25      :        :                   :         : 4         :
317
RESERVED_INPUT_WITH_WEAK_PULLUP : AH26      :        :                   :         : 4         :
318
VCCIO4                       : AH27      : power  :                   : 3.3V    : 4         :
319
VCCIO1                       : B1        : power  :                   : 3.3V    : 1         :
320
GND                          : B2        : gnd    :                   :         :           :
321
RESERVED_INPUT_WITH_WEAK_PULLUP : B3        :        :                   :         : 8         :
322
RESERVED_INPUT_WITH_WEAK_PULLUP : B4        :        :                   :         : 8         :
323
GND                          : B5        : gnd    :                   :         :           :
324
RESERVED_INPUT_WITH_WEAK_PULLUP : B6        :        :                   :         : 8         :
325
RESERVED_INPUT_WITH_WEAK_PULLUP : B7        :        :                   :         : 8         :
326
VGA_G[5]                     : B8        : output : 3.3-V LVTTL       :         : 8         : Y
327
GND                          : B9        : gnd    :                   :         :           :
328
VGA_B[0]                     : B10       : output : 3.3-V LVTTL       :         : 8         : Y
329
VGA_B[3]                     : B11       : output : 3.3-V LVTTL       :         : 8         : Y
330
GND                          : B12       : gnd    :                   :         :           :
331
GND                          : B13       : gnd    :                   :         :           :
332
GND+                         : B14       :        :                   :         : 8         :
333
GND+                         : B15       :        :                   :         : 7         :
334
GND                          : B16       : gnd    :                   :         :           :
335
RESERVED_INPUT_WITH_WEAK_PULLUP : B17       :        :                   :         : 7         :
336
RESERVED_INPUT_WITH_WEAK_PULLUP : B18       :        :                   :         : 7         :
337
RESERVED_INPUT_WITH_WEAK_PULLUP : B19       :        :                   :         : 7         :
338
GND                          : B20       : gnd    :                   :         :           :
339
RESERVED_INPUT_WITH_WEAK_PULLUP : B21       :        :                   :         : 7         :
340
RESERVED_INPUT_WITH_WEAK_PULLUP : B22       :        :                   :         : 7         :
341
RESERVED_INPUT_WITH_WEAK_PULLUP : B23       :        :                   :         : 7         :
342
GND                          : B24       : gnd    :                   :         :           :
343
RESERVED_INPUT_WITH_WEAK_PULLUP : B25       :        :                   :         : 7         :
344
RESERVED_INPUT_WITH_WEAK_PULLUP : B26       :        :                   :         : 7         :
345
GND                          : B27       : gnd    :                   :         :           :
346
VCCIO6                       : B28       : power  :                   : 3.3V    : 6         :
347
GND                          : C1        : gnd    :                   :         :           :
348
AUD_ADCLRCK                  : C2        : bidir  : 3.3-V LVTTL       :         : 1         : Y
349
RESERVED_INPUT_WITH_WEAK_PULLUP : C3        :        :                   :         : 8         :
350
RESERVED_INPUT_WITH_WEAK_PULLUP : C4        :        :                   :         : 8         :
351
RESERVED_INPUT_WITH_WEAK_PULLUP : C5        :        :                   :         : 8         :
352
RESERVED_INPUT_WITH_WEAK_PULLUP : C6        :        :                   :         : 8         :
353
RESERVED_INPUT_WITH_WEAK_PULLUP : C7        :        :                   :         : 8         :
354
VGA_G[4]                     : C8        : output : 3.3-V LVTTL       :         : 8         : Y
355
VGA_G[7]                     : C9        : output : 3.3-V LVTTL       :         : 8         : Y
356
VGA_SYNC_N                   : C10       : output : 3.3-V LVTTL       :         : 8         : Y
357
VGA_B[2]                     : C11       : output : 3.3-V LVTTL       :         : 8         : Y
358
VGA_B[5]                     : C12       : output : 3.3-V LVTTL       :         : 8         : Y
359
VGA_VS                       : C13       : output : 3.3-V LVTTL       :         : 8         : Y
360
RESERVED_INPUT_WITH_WEAK_PULLUP : C14       :        :                   :         : 8         :
361
RESERVED_INPUT_WITH_WEAK_PULLUP : C15       :        :                   :         : 7         :
362
RESERVED_INPUT_WITH_WEAK_PULLUP : C16       :        :                   :         : 7         :
363
RESERVED_INPUT_WITH_WEAK_PULLUP : C17       :        :                   :         : 7         :
364
RESERVED_INPUT_WITH_WEAK_PULLUP : C18       :        :                   :         : 7         :
365
RESERVED_INPUT_WITH_WEAK_PULLUP : C19       :        :                   :         : 7         :
366
RESERVED_INPUT_WITH_WEAK_PULLUP : C20       :        :                   :         : 7         :
367
RESERVED_INPUT_WITH_WEAK_PULLUP : C21       :        :                   :         : 7         :
368
RESERVED_INPUT_WITH_WEAK_PULLUP : C22       :        :                   :         : 7         :
369
RESERVED_INPUT_WITH_WEAK_PULLUP : C23       :        :                   :         : 7         :
370
RESERVED_INPUT_WITH_WEAK_PULLUP : C24       :        :                   :         : 7         :
371
RESERVED_INPUT_WITH_WEAK_PULLUP : C25       :        :                   :         : 7         :
372
RESERVED_INPUT_WITH_WEAK_PULLUP : C26       :        :                   :         : 7         :
373
RESERVED_INPUT_WITH_WEAK_PULLUP : C27       :        :                   :         : 6         :
374
GND                          : C28       : gnd    :                   :         :           :
375
AUD_DACDAT                   : D1        : output : 3.3-V LVTTL       :         : 1         : Y
376
AUD_ADCDAT                   : D2        : input  : 3.3-V LVTTL       :         : 1         : Y
377
GND                          : D3        : gnd    :                   :         :           :
378
RESERVED_INPUT_WITH_WEAK_PULLUP : D4        :        :                   :         : 8         :
379
RESERVED_INPUT_WITH_WEAK_PULLUP : D5        :        :                   :         : 8         :
380
RESERVED_INPUT_WITH_WEAK_PULLUP : D6        :        :                   :         : 8         :
381
RESERVED_INPUT_WITH_WEAK_PULLUP : D7        :        :                   :         : 8         :
382
RESERVED_INPUT_WITH_WEAK_PULLUP : D8        :        :                   :         : 8         :
383
RESERVED_INPUT_WITH_WEAK_PULLUP : D9        :        :                   :         : 8         :
384
VGA_R[2]                     : D10       : output : 3.3-V LVTTL       :         : 8         : Y
385
VGA_B[6]                     : D11       : output : 3.3-V LVTTL       :         : 8         : Y
386
VGA_B[7]                     : D12       : output : 3.3-V LVTTL       :         : 8         : Y
387
RESERVED_INPUT_WITH_WEAK_PULLUP : D13       :        :                   :         : 8         :
388
RESERVED_INPUT_WITH_WEAK_PULLUP : D14       :        :                   :         : 8         :
389
RESERVED_INPUT_WITH_WEAK_PULLUP : D15       :        :                   :         : 7         :
390
RESERVED_INPUT_WITH_WEAK_PULLUP : D16       :        :                   :         : 7         :
391
RESERVED_INPUT_WITH_WEAK_PULLUP : D17       :        :                   :         : 7         :
392
RESERVED_INPUT_WITH_WEAK_PULLUP : D18       :        :                   :         : 7         :
393
RESERVED_INPUT_WITH_WEAK_PULLUP : D19       :        :                   :         : 7         :
394
RESERVED_INPUT_WITH_WEAK_PULLUP : D20       :        :                   :         : 7         :
395
RESERVED_INPUT_WITH_WEAK_PULLUP : D21       :        :                   :         : 7         :
396
RESERVED_INPUT_WITH_WEAK_PULLUP : D22       :        :                   :         : 7         :
397
RESERVED_INPUT_WITH_WEAK_PULLUP : D23       :        :                   :         : 7         :
398
RESERVED_INPUT_WITH_WEAK_PULLUP : D24       :        :                   :         : 7         :
399
RESERVED_INPUT_WITH_WEAK_PULLUP : D25       :        :                   :         : 7         :
400
RESERVED_INPUT_WITH_WEAK_PULLUP : D26       :        :                   :         : 6         :
401
RESERVED_INPUT_WITH_WEAK_PULLUP : D27       :        :                   :         : 6         :
402
RESERVED_INPUT_WITH_WEAK_PULLUP : D28       :        :                   :         : 6         :
403
AUD_XCK                      : E1        : output : 3.3-V LVTTL       :         : 1         : Y
404
~ALTERA_FLASH_nCE_nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP : E2        : input  : 3.3-V LVTTL       :         : 1         : N
405
AUD_DACLRCK                  : E3        : bidir  : 3.3-V LVTTL       :         : 1         : Y
406
RESERVED_INPUT_WITH_WEAK_PULLUP : E4        :        :                   :         : 8         :
407
RESERVED_INPUT_WITH_WEAK_PULLUP : E5        :        :                   :         : 8         :
408
VCCIO8                       : E6        : power  :                   : 3.3V    : 8         :
409
RESERVED_INPUT_WITH_WEAK_PULLUP : E7        :        :                   :         : 8         :
410
RESERVED_INPUT_WITH_WEAK_PULLUP : E8        :        :                   :         : 8         :
411
VCCIO8                       : E9        : power  :                   : 3.3V    : 8         :
412
RESERVED_INPUT_WITH_WEAK_PULLUP : E10       :        :                   :         : 8         :
413
VGA_R[1]                     : E11       : output : 3.3-V LVTTL       :         : 8         : Y
414
VGA_R[0]                     : E12       : output : 3.3-V LVTTL       :         : 8         : Y
415
VCCIO8                       : E13       : power  :                   : 3.3V    : 8         :
416
RESERVED_INPUT_WITH_WEAK_PULLUP : E14       :        :                   :         : 8         :
417
RESERVED_INPUT_WITH_WEAK_PULLUP : E15       :        :                   :         : 7         :
418
VCCIO7                       : E16       : power  :                   : 2.5V    : 7         :
419
HEX0[2]                      : E17       : output : 2.5 V             :         : 7         : Y
420
LEDR[5]                      : E18       : output : 2.5 V             :         : 7         : Y
421
LEDR[2]                      : E19       : output : 2.5 V             :         : 7         : Y
422
VCCIO7                       : E20       : power  :                   : 2.5V    : 7         :
423
LEDG[0]                      : E21       : output : 2.5 V             :         : 7         : Y
424
LEDG[1]                      : E22       : output : 2.5 V             :         : 7         : Y
425
VCCIO7                       : E23       : power  :                   : 2.5V    : 7         :
426
LEDG[3]                      : E24       : output : 2.5 V             :         : 7         : Y
427
LEDG[2]                      : E25       : output : 2.5 V             :         : 7         : Y
428
RESERVED_INPUT_WITH_WEAK_PULLUP : E26       :        :                   :         : 6         :
429
RESERVED_INPUT_WITH_WEAK_PULLUP : E27       :        :                   :         : 6         :
430
RESERVED_INPUT_WITH_WEAK_PULLUP : E28       :        :                   :         : 6         :
431
RESERVED_INPUT_WITH_WEAK_PULLUP : F1        :        :                   :         : 1         :
432
AUD_BCLK                     : F2        : bidir  : 3.3-V LVTTL       :         : 1         : Y
433
RESERVED_INPUT_WITH_WEAK_PULLUP : F3        :        :                   :         : 1         :
434
~ALTERA_ASDO_DATA1~ / RESERVED_INPUT_WITH_WEAK_PULLUP : F4        : input  : 3.3-V LVTTL       :         : 1         : N
435
PS2_DAT2                     : F5        : bidir  : 3.3-V LVTTL       :         : 1         : Y
436
GND                          : F6        : gnd    :                   :         :           :
437
RESERVED_INPUT_WITH_WEAK_PULLUP : F7        :        :                   :         : 8         :
438
VGA_G[2]                     : F8        : output : 3.3-V LVTTL       :         : 8         : Y
439
GND                          : F9        : gnd    :                   :         :           :
440
VGA_G[6]                     : F10       : output : 3.3-V LVTTL       :         : 8         : Y
441
VGA_BLANK_N                  : F11       : output : 3.3-V LVTTL       :         : 8         : Y
442
VGA_R[3]                     : F12       : output : 3.3-V LVTTL       :         : 8         : Y
443
GND                          : F13       : gnd    :                   :         :           :
444
RESERVED_INPUT_WITH_WEAK_PULLUP : F14       :        :                   :         : 8         :
445
LEDR[14]                     : F15       : output : 2.5 V             :         : 7         : Y
446
GND                          : F16       : gnd    :                   :         :           :
447
LEDG[8]                      : F17       : output : 2.5 V             :         : 7         : Y
448
LEDR[4]                      : F18       : output : 2.5 V             :         : 7         : Y
449
LEDR[1]                      : F19       : output : 2.5 V             :         : 7         : Y
450
GND                          : F20       : gnd    :                   :         :           :
451
LEDR[3]                      : F21       : output : 2.5 V             :         : 7         : Y
452
HEX0[1]                      : F22       : output : 2.5 V             :         : 7         : Y
453
GND                          : F23       : gnd    :                   :         :           :
454
RESERVED_INPUT_WITH_WEAK_PULLUP : F24       :        :                   :         : 6         :
455
RESERVED_INPUT_WITH_WEAK_PULLUP : F25       :        :                   :         : 6         :
456
RESERVED_INPUT_WITH_WEAK_PULLUP : F26       :        :                   :         : 6         :
457
RESERVED_INPUT_WITH_WEAK_PULLUP : F27       :        :                   :         : 6         :
458
RESERVED_INPUT_WITH_WEAK_PULLUP : F28       :        :                   :         : 6         :
459
RESERVED_INPUT_WITH_WEAK_PULLUP : G1        :        :                   :         : 1         :
460
RESERVED_INPUT_WITH_WEAK_PULLUP : G2        :        :                   :         : 1         :
461
RESERVED_INPUT_WITH_WEAK_PULLUP : G3        :        :                   :         : 1         :
462
RESERVED_INPUT_WITH_WEAK_PULLUP : G4        :        :                   :         : 1         :
463
PS2_CLK2                     : G5        : bidir  : 3.3-V LVTTL       :         : 1         : Y
464
PS2_CLK                      : G6        : bidir  : 3.3-V LVTTL       :         : 1         : Y
465
RESERVED_INPUT_WITH_WEAK_PULLUP : G7        :        :                   :         : 8         :
466
VGA_G[0]                     : G8        : output : 3.3-V LVTTL       :         : 8         : Y
467
UART_TXD                     : G9        : output : 3.3-V LVTTL       :         : 8         : Y
468
VGA_R[4]                     : G10       : output : 3.3-V LVTTL       :         : 8         : Y
469
VGA_G[1]                     : G11       : output : 3.3-V LVTTL       :         : 8         : Y
470
UART_RXD                     : G12       : input  : 3.3-V LVTTL       :         : 8         : Y
471
VGA_HS                       : G13       : output : 3.3-V LVTTL       :         : 8         : Y
472
UART_RTS                     : G14       : input  : 3.3-V LVTTL       :         : 8         : Y
473
LEDR[15]                     : G15       : output : 2.5 V             :         : 7         : Y
474
LEDR[16]                     : G16       : output : 2.5 V             :         : 7         : Y
475
LEDR[9]                      : G17       : output : 2.5 V             :         : 7         : Y
476
HEX0[0]                      : G18       : output : 2.5 V             :         : 7         : Y
477
LEDR[0]                      : G19       : output : 2.5 V             :         : 7         : Y
478
LEDG[5]                      : G20       : output : 2.5 V             :         : 7         : Y
479
LEDG[7]                      : G21       : output : 2.5 V             :         : 7         : Y
480
LEDG[6]                      : G22       : output : 2.5 V             :         : 7         : Y
481
RESERVED_INPUT_WITH_WEAK_PULLUP : G23       :        :                   :         : 6         :
482
RESERVED_INPUT_WITH_WEAK_PULLUP : G24       :        :                   :         : 6         :
483
RESERVED_INPUT_WITH_WEAK_PULLUP : G25       :        :                   :         : 6         :
484
RESERVED_INPUT_WITH_WEAK_PULLUP : G26       :        :                   :         : 6         :
485
RESERVED_INPUT_WITH_WEAK_PULLUP : G27       :        :                   :         : 6         :
486
RESERVED_INPUT_WITH_WEAK_PULLUP : G28       :        :                   :         : 6         :
487
VCCIO1                       : H1        : power  :                   : 3.3V    : 1         :
488
GND                          : H2        : gnd    :                   :         :           :
489
RESERVED_INPUT_WITH_WEAK_PULLUP : H3        :        :                   :         : 1         :
490
RESERVED_INPUT_WITH_WEAK_PULLUP : H4        :        :                   :         : 1         :
491
PS2_DAT                      : H5        : bidir  : 3.3-V LVTTL       :         : 1         : Y
492
RESERVED_INPUT_WITH_WEAK_PULLUP : H6        :        :                   :         : 1         :
493
RESERVED_INPUT_WITH_WEAK_PULLUP : H7        :        :                   :         : 1         :
494
VGA_R[6]                     : H8        : output : 3.3-V LVTTL       :         : 8         : Y
495
GNDA3                        : H9        : gnd    :                   :         :           :
496
VGA_R[7]                     : H10       : output : 3.3-V LVTTL       :         : 8         : Y
497
VCCIO8                       : H11       : power  :                   : 3.3V    : 8         :
498
VGA_G[3]                     : H12       : output : 3.3-V LVTTL       :         : 8         : Y
499
RESERVED_INPUT_WITH_WEAK_PULLUP : H13       :        :                   :         : 8         :
500
RESERVED_INPUT_WITH_WEAK_PULLUP : H14       :        :                   :         : 8         :
501
LEDR[17]                     : H15       : output : 2.5 V             :         : 7         : Y
502
LEDR[11]                     : H16       : output : 2.5 V             :         : 7         : Y
503
LEDR[13]                     : H17       : output : 2.5 V             :         : 7         : Y
504
VCCIO7                       : H18       : power  :                   : 2.5V    : 7         :
505
LEDR[7]                      : H19       : output : 2.5 V             :         : 7         : Y
506
GNDA2                        : H20       : gnd    :                   :         :           :
507
LEDG[4]                      : H21       : output : 2.5 V             :         : 7         : Y
508
HEX0[6]                      : H22       : output : 3.3-V LVTTL       :         : 6         : Y
509
RESERVED_INPUT_WITH_WEAK_PULLUP : H23       :        :                   :         : 6         :
510
RESERVED_INPUT_WITH_WEAK_PULLUP : H24       :        :                   :         : 6         :
511
RESERVED_INPUT_WITH_WEAK_PULLUP : H25       :        :                   :         : 6         :
512
RESERVED_INPUT_WITH_WEAK_PULLUP : H26       :        :                   :         : 6         :
513
GND                          : H27       : gnd    :                   :         :           :
514
VCCIO6                       : H28       : power  :                   : 3.3V    : 6         :
515
GND+                         : J1        :        :                   :         : 1         :
516
GND                          : J2        : gnd    :                   :         :           :
517
RESERVED_INPUT_WITH_WEAK_PULLUP : J3        :        :                   :         : 1         :
518
RESERVED_INPUT_WITH_WEAK_PULLUP : J4        :        :                   :         : 1         :
519
RESERVED_INPUT_WITH_WEAK_PULLUP : J5        :        :                   :         : 1         :
520
RESERVED_INPUT_WITH_WEAK_PULLUP : J6        :        :                   :         : 1         :
521
RESERVED_INPUT_WITH_WEAK_PULLUP : J7        :        :                   :         : 1         :
522
VCCA3                        : J8        : power  :                   : 2.5V    :           :
523
VCCD_PLL3                    : J9        : power  :                   : 1.2V    :           :
524
RESERVED_INPUT_WITH_WEAK_PULLUP : J10       :        :                   :         : 8         :
525
GND                          : J11       : gnd    :                   :         :           :
526
VGA_R[5]                     : J12       : output : 3.3-V LVTTL       :         : 8         : Y
527
UART_CTS                     : J13       : input  : 3.3-V LVTTL       :         : 8         : Y
528
RESERVED_INPUT_WITH_WEAK_PULLUP : J14       :        :                   :         : 8         :
529
LEDR[10]                     : J15       : output : 2.5 V             :         : 7         : Y
530
LEDR[12]                     : J16       : output : 2.5 V             :         : 7         : Y
531
LEDR[8]                      : J17       : output : 2.5 V             :         : 7         : Y
532
GND                          : J18       : gnd    :                   :         :           :
533
LEDR[6]                      : J19       : output : 2.5 V             :         : 7         : Y
534
VCCD_PLL2                    : J20       : power  :                   : 1.2V    :           :
535
VCCA2                        : J21       : power  :                   : 2.5V    :           :
536
HEX0[5]                      : J22       : output : 3.3-V LVTTL       :         : 6         : Y
537
RESERVED_INPUT_WITH_WEAK_PULLUP : J23       :        :                   :         : 6         :
538
RESERVED_INPUT_WITH_WEAK_PULLUP : J24       :        :                   :         : 6         :
539
RESERVED_INPUT_WITH_WEAK_PULLUP : J25       :        :                   :         : 6         :
540
RESERVED_INPUT_WITH_WEAK_PULLUP : J26       :        :                   :         : 6         :
541
GND+                         : J27       :        :                   :         : 6         :
542
GND+                         : J28       :        :                   :         : 6         :
543
RESERVED_INPUT_WITH_WEAK_PULLUP : K1        :        :                   :         : 1         :
544
RESERVED_INPUT_WITH_WEAK_PULLUP : K2        :        :                   :         : 1         :
545
RESERVED_INPUT_WITH_WEAK_PULLUP : K3        :        :                   :         : 1         :
546
RESERVED_INPUT_WITH_WEAK_PULLUP : K4        :        :                   :         : 1         :
547
VCCIO1                       : K5        : power  :                   : 3.3V    : 1         :
548
GND                          : K6        : gnd    :                   :         :           :
549
RESERVED_INPUT_WITH_WEAK_PULLUP : K7        :        :                   :         : 1         :
550
DRAM_DQM_2                   : K8        : output : 3.3-V LVTTL       :         : 1         : Y
551
VCCINT                       : K9        : power  :                   : 1.2V    :           :
552
GND                          : K10       : gnd    :                   :         :           :
553
VCCINT                       : K11       : power  :                   : 1.2V    :           :
554
GND                          : K12       : gnd    :                   :         :           :
555
VCCINT                       : K13       : power  :                   : 1.2V    :           :
556
GND                          : K14       : gnd    :                   :         :           :
557
VCCINT                       : K15       : power  :                   : 1.2V    :           :
558
GND                          : K16       : gnd    :                   :         :           :
559
VCCINT                       : K17       : power  :                   : 1.2V    :           :
560
GND                          : K18       : gnd    :                   :         :           :
561
VCCINT                       : K19       : power  :                   : 1.2V    :           :
562
GND                          : K20       : gnd    :                   :         :           :
563
RESERVED_INPUT_WITH_WEAK_PULLUP : K21       :        :                   :         : 6         :
564
RESERVED_INPUT_WITH_WEAK_PULLUP : K22       :        :                   :         : 6         :
565
GND                          : K23       : gnd    :                   :         :           :
566
VCCIO6                       : K24       : power  :                   : 3.3V    : 6         :
567
RESERVED_INPUT_WITH_WEAK_PULLUP : K25       :        :                   :         : 6         :
568
RESERVED_INPUT_WITH_WEAK_PULLUP : K26       :        :                   :         : 6         :
569
RESERVED_INPUT_WITH_WEAK_PULLUP : K27       :        :                   :         : 6         :
570
RESERVED_INPUT_WITH_WEAK_PULLUP : K28       :        :                   :         : 6         :
571
RESERVED_INPUT_WITH_WEAK_PULLUP : L1        :        :                   :         : 1         :
572
RESERVED_INPUT_WITH_WEAK_PULLUP : L2        :        :                   :         : 1         :
573
RESERVED_INPUT_WITH_WEAK_PULLUP : L3        :        :                   :         : 1         :
574
RESERVED_INPUT_WITH_WEAK_PULLUP : L4        :        :                   :         : 1         :
575
RESERVED_INPUT_WITH_WEAK_PULLUP : L5        :        :                   :         : 1         :
576
RESERVED_INPUT_WITH_WEAK_PULLUP : L6        :        :                   :         : 1         :
577
DRAM_DQ[23]                  : L7        : bidir  : 3.3-V LVTTL       :         : 1         : Y
578
DRAM_DQ[17]                  : L8        : bidir  : 3.3-V LVTTL       :         : 1         : Y
579
GND                          : L9        : gnd    :                   :         :           :
580
VCCINT                       : L10       : power  :                   : 1.2V    :           :
581
GND                          : L11       : gnd    :                   :         :           :
582
VCCINT                       : L12       : power  :                   : 1.2V    :           :
583
GND                          : L13       : gnd    :                   :         :           :
584
VCCINT                       : L14       : power  :                   : 1.2V    :           :
585
GND                          : L15       : gnd    :                   :         :           :
586
VCCINT                       : L16       : power  :                   : 1.2V    :           :
587
GND                          : L17       : gnd    :                   :         :           :
588
VCCINT                       : L18       : power  :                   : 1.2V    :           :
589
GND                          : L19       : gnd    :                   :         :           :
590
VCCINT                       : L20       : power  :                   : 1.2V    :           :
591
RESERVED_INPUT_WITH_WEAK_PULLUP : L21       :        :                   :         : 6         :
592
RESERVED_INPUT_WITH_WEAK_PULLUP : L22       :        :                   :         : 6         :
593
RESERVED_INPUT_WITH_WEAK_PULLUP : L23       :        :                   :         : 6         :
594
RESERVED_INPUT_WITH_WEAK_PULLUP : L24       :        :                   :         : 6         :
595
HEX0[4]                      : L25       : output : 3.3-V LVTTL       :         : 6         : Y
596
HEX0[3]                      : L26       : output : 3.3-V LVTTL       :         : 6         : Y
597
RESERVED_INPUT_WITH_WEAK_PULLUP : L27       :        :                   :         : 6         :
598
RESERVED_INPUT_WITH_WEAK_PULLUP : L28       :        :                   :         : 6         :
599
RESERVED_INPUT_WITH_WEAK_PULLUP : M1        :        :                   :         : 1         :
600
RESERVED_INPUT_WITH_WEAK_PULLUP : M2        :        :                   :         : 1         :
601
RESERVED_INPUT_WITH_WEAK_PULLUP : M3        :        :                   :         : 1         :
602
DRAM_DQ[21]                  : M4        : bidir  : 3.3-V LVTTL       :         : 1         : Y
603
RESERVED_INPUT_WITH_WEAK_PULLUP : M5        :        :                   :         : 1         :
604
nSTATUS                      : M6        :        :                   :         : 1         :
605
DRAM_DQ[22]                  : M7        : bidir  : 3.3-V LVTTL       :         : 1         : Y
606
DRAM_DQ[16]                  : M8        : bidir  : 3.3-V LVTTL       :         : 1         : Y
607
VCCINT                       : M9        : power  :                   : 1.2V    :           :
608
GND                          : M10       : gnd    :                   :         :           :
609
VCCINT                       : M11       : power  :                   : 1.2V    :           :
610
GND                          : M12       : gnd    :                   :         :           :
611
VCCINT                       : M13       : power  :                   : 1.2V    :           :
612
GND                          : M14       : gnd    :                   :         :           :
613
VCCINT                       : M15       : power  :                   : 1.2V    :           :
614
GND                          : M16       : gnd    :                   :         :           :
615
VCCINT                       : M17       : power  :                   : 1.2V    :           :
616
GND                          : M18       : gnd    :                   :         :           :
617
VCCINT                       : M19       : power  :                   : 1.2V    :           :
618
GND                          : M20       : gnd    :                   :         :           :
619
KEY[1]                       : M21       : input  : 3.3-V LVTTL       :         : 6         : Y
620
MSEL2                        : M22       :        :                   :         : 6         :
621
KEY[0]                       : M23       : input  : 3.3-V LVTTL       :         : 6         : Y
622
HEX1[0]                      : M24       : output : 3.3-V LVTTL       :         : 6         : Y
623
RESERVED_INPUT_WITH_WEAK_PULLUP : M25       :        :                   :         : 6         :
624
RESERVED_INPUT_WITH_WEAK_PULLUP : M26       :        :                   :         : 6         :
625
RESERVED_INPUT_WITH_WEAK_PULLUP : M27       :        :                   :         : 6         :
626
RESERVED_INPUT_WITH_WEAK_PULLUP : M28       :        :                   :         : 6         :
627
VCCIO1                       : N1        : power  :                   : 3.3V    : 1         :
628
GND                          : N2        : gnd    :                   :         :           :
629
DRAM_DQ[19]                  : N3        : bidir  : 3.3-V LVTTL       :         : 1         : Y
630
DRAM_DQ[20]                  : N4        : bidir  : 3.3-V LVTTL       :         : 1         : Y
631
VCCIO1                       : N5        : power  :                   : 3.3V    : 1         :
632
GND                          : N6        : gnd    :                   :         :           :
633
~ALTERA_DATA0~ / RESERVED_INPUT_WITH_WEAK_PULLUP : N7        : input  : 3.3-V LVTTL       :         : 1         : N
634
DRAM_DQM_3                   : N8        : output : 3.3-V LVTTL       :         : 1         : Y
635
GND                          : N9        : gnd    :                   :         :           :
636
VCCINT                       : N10       : power  :                   : 1.2V    :           :
637
GND                          : N11       : gnd    :                   :         :           :
638
VCCINT                       : N12       : power  :                   : 1.2V    :           :
639
GND                          : N13       : gnd    :                   :         :           :
640
VCCINT                       : N14       : power  :                   : 1.2V    :           :
641
GND                          : N15       : gnd    :                   :         :           :
642
VCCINT                       : N16       : power  :                   : 1.2V    :           :
643
GND                          : N17       : gnd    :                   :         :           :
644
VCCINT                       : N18       : power  :                   : 1.2V    :           :
645
GND                          : N19       : gnd    :                   :         :           :
646
VCCINT                       : N20       : power  :                   : 1.2V    :           :
647
KEY[2]                       : N21       : input  : 3.3-V LVTTL       :         : 6         : Y
648
MSEL0                        : N22       :        :                   :         : 6         :
649
GND                          : N23       : gnd    :                   :         :           :
650
VCCIO6                       : N24       : power  :                   : 3.3V    : 6         :
651
RESERVED_INPUT_WITH_WEAK_PULLUP : N25       :        :                   :         : 6         :
652
RESERVED_INPUT_WITH_WEAK_PULLUP : N26       :        :                   :         : 6         :
653
GND                          : N27       : gnd    :                   :         :           :
654
VCCIO6                       : N28       : power  :                   : 3.3V    : 6         :
655
DRAM_ADDR[3]                 : P1        : output : 3.3-V LVTTL       :         : 1         : Y
656
DRAM_DQ[18]                  : P2        : bidir  : 3.3-V LVTTL       :         : 1         : Y
657
~ALTERA_DCLK~                : P3        : output : 3.3-V LVTTL       :         : 1         : N
658
nCONFIG                      : P4        :        :                   :         : 1         :
659
TCK                          : P5        : input  :                   :         : 1         :
660
TDO                          : P6        : output :                   :         : 1         :
661
TDI                          : P7        : input  :                   :         : 1         :
662
TMS                          : P8        : input  :                   :         : 1         :
663
VCCINT                       : P9        : power  :                   : 1.2V    :           :
664
GND                          : P10       : gnd    :                   :         :           :
665
VCCINT                       : P11       : power  :                   : 1.2V    :           :
666
GND                          : P12       : gnd    :                   :         :           :
667
VCCINT                       : P13       : power  :                   : 1.2V    :           :
668
GND                          : P14       : gnd    :                   :         :           :
669
VCCINT                       : P15       : power  :                   : 1.2V    :           :
670
GND                          : P16       : gnd    :                   :         :           :
671
VCCINT                       : P17       : power  :                   : 1.2V    :           :
672
GND                          : P18       : gnd    :                   :         :           :
673
VCCINT                       : P19       : power  :                   : 1.2V    :           :
674
GND                          : P20       : gnd    :                   :         :           :
675
RESERVED_INPUT_WITH_WEAK_PULLUP : P21       :        :                   :         : 5         :
676
MSEL3                        : P22       :        :                   :         : 6         :
677
MSEL1                        : P23       :        :                   :         : 6         :
678
CONF_DONE                    : P24       :        :                   :         : 6         :
679
RESERVED_INPUT_WITH_WEAK_PULLUP : P25       :        :                   :         : 6         :
680
RESERVED_INPUT_WITH_WEAK_PULLUP : P26       :        :                   :         : 6         :
681
RESERVED_INPUT_WITH_WEAK_PULLUP : P27       :        :                   :         : 6         :
682
~ALTERA_nCEO~ / RESERVED_OUTPUT_OPEN_DRAIN : P28       : output : 3.3-V LVTTL       :         : 6         : N
683
DRAM_DQ[26]                  : R1        : bidir  : 3.3-V LVTTL       :         : 2         : Y
684
DRAM_DQ[27]                  : R2        : bidir  : 3.3-V LVTTL       :         : 2         : Y
685
DRAM_DQ[28]                  : R3        : bidir  : 3.3-V LVTTL       :         : 2         : Y
686
DRAM_BA_1                    : R4        : output : 3.3-V LVTTL       :         : 2         : Y
687
DRAM_ADDR[10]                : R5        : output : 3.3-V LVTTL       :         : 2         : Y
688
DRAM_ADDR[0]                 : R6        : output : 3.3-V LVTTL       :         : 2         : Y
689
DRAM_DQ[25]                  : R7        : bidir  : 3.3-V LVTTL       :         : 2         : Y
690
nCE                          : R8        :        :                   :         : 1         :
691
GND                          : R9        : gnd    :                   :         :           :
692
VCCINT                       : R10       : power  :                   : 1.2V    :           :
693
GND                          : R11       : gnd    :                   :         :           :
694
VCCINT                       : R12       : power  :                   : 1.2V    :           :
695
GND                          : R13       : gnd    :                   :         :           :
696
VCCINT                       : R14       : power  :                   : 1.2V    :           :
697
GND                          : R15       : gnd    :                   :         :           :
698
VCCINT                       : R16       : power  :                   : 1.2V    :           :
699
GND                          : R17       : gnd    :                   :         :           :
700
VCCINT                       : R18       : power  :                   : 1.2V    :           :
701
GND                          : R19       : gnd    :                   :         :           :
702
VCCINT                       : R20       : power  :                   : 1.2V    :           :
703
RESERVED_INPUT_WITH_WEAK_PULLUP : R21       :        :                   :         : 5         :
704
RESERVED_INPUT_WITH_WEAK_PULLUP : R22       :        :                   :         : 5         :
705
RESERVED_INPUT_WITH_WEAK_PULLUP : R23       :        :                   :         : 5         :
706
KEY[3]                       : R24       : input  : 3.3-V LVTTL       :         : 5         : Y
707
RESERVED_INPUT_WITH_WEAK_PULLUP : R25       :        :                   :         : 5         :
708
RESERVED_INPUT_WITH_WEAK_PULLUP : R26       :        :                   :         : 5         :
709
RESERVED_INPUT_WITH_WEAK_PULLUP : R27       :        :                   :         : 5         :
710
RESERVED_INPUT_WITH_WEAK_PULLUP : R28       :        :                   :         : 5         :
711
VCCIO2                       : T1        : power  :                   : 3.3V    : 2         :
712
GND                          : T2        : gnd    :                   :         :           :
713
DRAM_DQ[29]                  : T3        : bidir  : 3.3-V LVTTL       :         : 2         : Y
714
DRAM_CS_N                    : T4        : output : 3.3-V LVTTL       :         : 2         : Y
715
VCCIO2                       : T5        : power  :                   : 3.3V    : 2         :
716
GND                          : T6        : gnd    :                   :         :           :
717
SRAM_ADDR[9]                 : T7        : output : 3.3-V LVTTL       :         : 2         : Y
718
SRAM_ADDR[19]                : T8        : output : 3.3-V LVTTL       :         : 2         : Y
719
VCCINT                       : T9        : power  :                   : 1.2V    :           :
720
GND                          : T10       : gnd    :                   :         :           :
721
VCCINT                       : T11       : power  :                   : 1.2V    :           :
722
GND                          : T12       : gnd    :                   :         :           :
723
VCCINT                       : T13       : power  :                   : 1.2V    :           :
724
GND                          : T14       : gnd    :                   :         :           :
725
VCCINT                       : T15       : power  :                   : 1.2V    :           :
726
GND                          : T16       : gnd    :                   :         :           :
727
VCCINT                       : T17       : power  :                   : 1.2V    :           :
728
GND                          : T18       : gnd    :                   :         :           :
729
VCCINT                       : T19       : power  :                   : 1.2V    :           :
730
GND                          : T20       : gnd    :                   :         :           :
731
RESERVED_INPUT_WITH_WEAK_PULLUP : T21       :        :                   :         : 5         :
732
RESERVED_INPUT_WITH_WEAK_PULLUP : T22       :        :                   :         : 5         :
733
GND                          : T23       : gnd    :                   :         :           :
734
VCCIO5                       : T24       : power  :                   : 3.3V    : 5         :
735
RESERVED_INPUT_WITH_WEAK_PULLUP : T25       :        :                   :         : 5         :
736
RESERVED_INPUT_WITH_WEAK_PULLUP : T26       :        :                   :         : 5         :
737
GND                          : T27       : gnd    :                   :         :           :
738
VCCIO5                       : T28       : power  :                   : 3.3V    : 5         :
739
DRAM_DQ[31]                  : U1        : bidir  : 3.3-V LVTTL       :         : 2         : Y
740
DRAM_DQM_0                   : U2        : output : 3.3-V LVTTL       :         : 2         : Y
741
DRAM_DQ[7]                   : U3        : bidir  : 3.3-V LVTTL       :         : 2         : Y
742
DRAM_DQ[30]                  : U4        : bidir  : 3.3-V LVTTL       :         : 2         : Y
743
DRAM_DQ[24]                  : U5        : bidir  : 3.3-V LVTTL       :         : 2         : Y
744
DRAM_RAS_N                   : U6        : output : 3.3-V LVTTL       :         : 2         : Y
745
DRAM_BA_0                    : U7        : output : 3.3-V LVTTL       :         : 2         : Y
746
DRAM_ADDR[2]                 : U8        : output : 3.3-V LVTTL       :         : 2         : Y
747
GND                          : U9        : gnd    :                   :         :           :
748
VCCINT                       : U10       : power  :                   : 1.2V    :           :
749
GND                          : U11       : gnd    :                   :         :           :
750
VCCINT                       : U12       : power  :                   : 1.2V    :           :
751
GND                          : U13       : gnd    :                   :         :           :
752
VCCINT                       : U14       : power  :                   : 1.2V    :           :
753
GND                          : U15       : gnd    :                   :         :           :
754
VCCINT                       : U16       : power  :                   : 1.2V    :           :
755
GND                          : U17       : gnd    :                   :         :           :
756
VCCINT                       : U18       : power  :                   : 1.2V    :           :
757
GND                          : U19       : gnd    :                   :         :           :
758
VCCINT                       : U20       : power  :                   : 1.2V    :           :
759
HEX3[1]                      : U21       : output : 3.3-V LVTTL       :         : 5         : Y
760
RESERVED_INPUT_WITH_WEAK_PULLUP : U22       :        :                   :         : 5         :
761
HEX1[5]                      : U23       : output : 3.3-V LVTTL       :         : 5         : Y
762
HEX1[6]                      : U24       : output : 3.3-V LVTTL       :         : 5         : Y
763
RESERVED_INPUT_WITH_WEAK_PULLUP : U25       :        :                   :         : 5         :
764
RESERVED_INPUT_WITH_WEAK_PULLUP : U26       :        :                   :         : 5         :
765
RESERVED_INPUT_WITH_WEAK_PULLUP : U27       :        :                   :         : 5         :
766
RESERVED_INPUT_WITH_WEAK_PULLUP : U28       :        :                   :         : 5         :
767
DRAM_DQ[6]                   : V1        : bidir  : 3.3-V LVTTL       :         : 2         : Y
768
DRAM_DQ[5]                   : V2        : bidir  : 3.3-V LVTTL       :         : 2         : Y
769
DRAM_DQ[4]                   : V3        : bidir  : 3.3-V LVTTL       :         : 2         : Y
770
DRAM_DQ[2]                   : V4        : bidir  : 3.3-V LVTTL       :         : 2         : Y
771
DRAM_ADDR[4]                 : V5        : output : 3.3-V LVTTL       :         : 2         : Y
772
DRAM_WE_N                    : V6        : output : 3.3-V LVTTL       :         : 2         : Y
773
DRAM_CAS_N                   : V7        : output : 3.3-V LVTTL       :         : 2         : Y
774
DRAM_ADDR[1]                 : V8        : output : 3.3-V LVTTL       :         : 2         : Y
775
VCCINT                       : V9        : power  :                   : 1.2V    :           :
776
GND                          : V10       : gnd    :                   :         :           :
777
VCCINT                       : V11       : power  :                   : 1.2V    :           :
778
GND                          : V12       : gnd    :                   :         :           :
779
VCCINT                       : V13       : power  :                   : 1.2V    :           :
780
GND                          : V14       : gnd    :                   :         :           :
781
VCCINT                       : V15       : power  :                   : 1.2V    :           :
782
GND                          : V16       : gnd    :                   :         :           :
783
VCCINT                       : V17       : power  :                   : 1.2V    :           :
784
GND                          : V18       : gnd    :                   :         :           :
785
VCCINT                       : V19       : power  :                   : 1.2V    :           :
786
GND                          : V20       : gnd    :                   :         :           :
787
HEX3[0]                      : V21       : output : 3.3-V LVTTL       :         : 5         : Y
788
RESERVED_INPUT_WITH_WEAK_PULLUP : V22       :        :                   :         : 5         :
789
RESERVED_INPUT_WITH_WEAK_PULLUP : V23       :        :                   :         : 5         :
790
RESERVED_INPUT_WITH_WEAK_PULLUP : V24       :        :                   :         : 5         :
791
RESERVED_INPUT_WITH_WEAK_PULLUP : V25       :        :                   :         : 5         :
792
RESERVED_INPUT_WITH_WEAK_PULLUP : V26       :        :                   :         : 5         :
793
RESERVED_INPUT_WITH_WEAK_PULLUP : V27       :        :                   :         : 5         :
794
RESERVED_INPUT_WITH_WEAK_PULLUP : V28       :        :                   :         : 5         :
795
DRAM_DQ[3]                   : W1        : bidir  : 3.3-V LVTTL       :         : 2         : Y
796
DRAM_DQ[1]                   : W2        : bidir  : 3.3-V LVTTL       :         : 2         : Y
797
DRAM_DQ[0]                   : W3        : bidir  : 3.3-V LVTTL       :         : 2         : Y
798
DRAM_DQM_1                   : W4        : output : 3.3-V LVTTL       :         : 2         : Y
799
VCCIO2                       : W5        : power  :                   : 3.3V    : 2         :
800
GND                          : W6        : gnd    :                   :         :           :
801
DRAM_ADDR[6]                 : W7        : output : 3.3-V LVTTL       :         : 2         : Y
802
DRAM_ADDR[5]                 : W8        : output : 3.3-V LVTTL       :         : 2         : Y
803
GND                          : W9        : gnd    :                   :         :           :
804
VCCINT                       : W10       : power  :                   : 1.2V    :           :
805
GND                          : W11       : gnd    :                   :         :           :
806
VCCINT                       : W12       : power  :                   : 1.2V    :           :
807
GND                          : W13       : gnd    :                   :         :           :
808
VCCINT                       : W14       : power  :                   : 1.2V    :           :
809
GND                          : W15       : gnd    :                   :         :           :
810
VCCINT                       : W16       : power  :                   : 1.2V    :           :
811
GND                          : W17       : gnd    :                   :         :           :
812
VCCINT                       : W18       : power  :                   : 1.2V    :           :
813
GND                          : W19       : gnd    :                   :         :           :
814
VCCINT                       : W20       : power  :                   : 1.2V    :           :
815
HEX1[2]                      : W21       : output : 3.3-V LVTTL       :         : 5         : Y
816
HEX1[3]                      : W22       : output : 3.3-V LVTTL       :         : 5         : Y
817
GND                          : W23       : gnd    :                   :         :           :
818
VCCIO5                       : W24       : power  :                   : 3.3V    : 5         :
819
HEX1[4]                      : W25       : output : 3.3-V LVTTL       :         : 5         : Y
820
HEX2[3]                      : W26       : output : 3.3-V LVTTL       :         : 5         : Y
821
HEX2[5]                      : W27       : output : 3.3-V LVTTL       :         : 5         : Y
822
HEX2[6]                      : W28       : output : 3.3-V LVTTL       :         : 5         : Y
823
FL_RY                        : Y1        : input  : 3.3-V LVTTL       :         : 2         : Y
824
CLOCK_50                     : Y2        : input  : 3.3-V LVTTL       :         : 2         : Y
825
DRAM_DQ[8]                   : Y3        : bidir  : 3.3-V LVTTL       :         : 2         : Y
826
DRAM_DQ[9]                   : Y4        : bidir  : 3.3-V LVTTL       :         : 2         : Y
827
DRAM_ADDR[8]                 : Y5        : output : 3.3-V LVTTL       :         : 2         : Y
828
DRAM_ADDR[9]                 : Y6        : output : 3.3-V LVTTL       :         : 2         : Y
829
DRAM_ADDR[12]                : Y7        : output : 3.3-V LVTTL       :         : 2         : Y
830
VCCA1                        : Y8        : power  :                   : 2.5V    :           :
831
VCCD_PLL1                    : Y9        : power  :                   : 1.2V    :           :
832
FL_ADDR[15]                  : Y10       : output : 3.3-V LVTTL       :         : 3         : Y
833
GND                          : Y11       : gnd    :                   :         :           :
834
FL_ADDR[4]                   : Y12       : output : 3.3-V LVTTL       :         : 3         : Y
835
FL_ADDR[2]                   : Y13       : output : 3.3-V LVTTL       :         : 3         : Y
836
FL_ADDR[3]                   : Y14       : output : 3.3-V LVTTL       :         : 3         : Y
837
RESERVED_INPUT_WITH_WEAK_PULLUP : Y15       :        :                   :         : 3         :
838
LCD_RW                       : Y16       : output : 3.3-V LVTTL       :         : 4         : Y
839
LCD_RS                       : Y17       : output : 3.3-V LVTTL       :         : 4         : Y
840
GND                          : Y18       : gnd    :                   :         :           :
841
HEX3[6]                      : Y19       : output : 3.3-V LVTTL       :         : 4         : Y
842
VCCD_PLL4                    : Y20       : power  :                   : 1.2V    :           :
843
VCCA4                        : Y21       : power  :                   : 2.5V    :           :
844
HEX1[1]                      : Y22       : output : 3.3-V LVTTL       :         : 5         : Y
845
SW[17]                       : Y23       : input  : 3.3-V LVTTL       :         : 5         : Y
846
SW[16]                       : Y24       : input  : 3.3-V LVTTL       :         : 5         : Y
847
HEX2[2]                      : Y25       : output : 3.3-V LVTTL       :         : 5         : Y
848
HEX2[4]                      : Y26       : output : 3.3-V LVTTL       :         : 5         : Y
849
GND+                         : Y27       :        :                   :         : 5         :
850
GND+                         : Y28       :        :                   :         : 5         :

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.