OpenCores
URL https://opencores.org/ocsvn/z80soc/z80soc/trunk

Subversion Repositories z80soc

[/] [z80soc/] [trunk/] [V0.7.3/] [DE2115/] [073DE2115e.fit.rpt] - Blame information for rev 46

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 46 rrred
Fitter report for 073DE2115e
2
Sun Jun 19 13:44:48 2016
3
Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition
4
 
5
 
6
---------------------
7
; Table of Contents ;
8
---------------------
9
  1. Legal Notice
10
  2. Fitter Summary
11
  3. Fitter Settings
12
  4. Parallel Compilation
13
  5. I/O Assignment Warnings
14
  6. Ignored Assignments
15
  7. Incremental Compilation Preservation Summary
16
  8. Incremental Compilation Partition Settings
17
  9. Incremental Compilation Placement Preservation
18
 10. Pin-Out File
19
 11. Fitter Resource Usage Summary
20
 12. Fitter Partition Statistics
21
 13. Input Pins
22
 14. Output Pins
23
 15. Bidir Pins
24
 16. Dual Purpose and Dedicated Pins
25
 17. I/O Bank Usage
26
 18. All Package Pins
27
 19. Fitter Resource Utilization by Entity
28
 20. Delay Chain Summary
29
 21. Pad To Core Delay Chain Fanout
30
 22. Control Signals
31
 23. Global & Other Fast Signals
32
 24. Non-Global High Fan-Out Signals
33
 25. Fitter RAM Summary
34
 26. |Z80SOC|charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ALTSYNCRAM
35
 27. |Z80SOC|rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ALTSYNCRAM
36
 28. Other Routing Usage Summary
37
 29. LAB Logic Elements
38
 30. LAB-wide Signals
39
 31. LAB Signals Sourced
40
 32. LAB Signals Sourced Out
41
 33. LAB Distinct Inputs
42
 34. I/O Rules Summary
43
 35. I/O Rules Details
44
 36. I/O Rules Matrix
45
 37. Fitter Device Options
46
 38. Operating Settings and Conditions
47
 39. Estimated Delay Added for Hold Timing Summary
48
 40. Estimated Delay Added for Hold Timing Details
49
 41. Fitter Messages
50
 42. Fitter Suppressed Messages
51
 
52
 
53
 
54
----------------
55
; Legal Notice ;
56
----------------
57
Copyright (C) 1991-2013 Altera Corporation
58
Your use of Altera Corporation's design tools, logic functions
59
and other software and tools, and its AMPP partner logic
60
functions, and any output files from any of the foregoing
61
(including device programming or simulation files), and any
62
associated documentation or information are expressly subject
63
to the terms and conditions of the Altera Program License
64
Subscription Agreement, Altera MegaCore Function License
65
Agreement, or other applicable license agreement, including,
66
without limitation, that your use is for the sole purpose of
67
programming logic devices manufactured by Altera and sold by
68
Altera or its authorized distributors.  Please refer to the
69
applicable agreement for further details.
70
 
71
 
72
 
73
+--------------------------------------------------------------------------------------+
74
; Fitter Summary                                                                       ;
75
+------------------------------------+-------------------------------------------------+
76
; Fitter Status                      ; Successful - Sun Jun 19 13:44:47 2016           ;
77
; Quartus II 64-Bit Version          ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ;
78
; Revision Name                      ; 073DE2115e                                      ;
79
; Top-level Entity Name              ; Z80SOC                                          ;
80
; Family                             ; Cyclone IV E                                    ;
81
; Device                             ; EP4CE115F29C7                                   ;
82
; Timing Models                      ; Final                                           ;
83
; Total logic elements               ; 3,187 / 114,480 ( 3 % )                         ;
84
;     Total combinational functions  ; 3,098 / 114,480 ( 3 % )                         ;
85
;     Dedicated logic registers      ; 625 / 114,480 ( < 1 % )                         ;
86
; Total registers                    ; 625                                             ;
87
; Total pins                         ; 303 / 529 ( 57 % )                              ;
88
; Total virtual pins                 ; 0                                               ;
89
; Total memory bits                  ; 196,600 / 3,981,312 ( 5 % )                     ;
90
; Embedded Multiplier 9-bit elements ; 0 / 532 ( 0 % )                                 ;
91
; Total PLLs                         ; 0 / 4 ( 0 % )                                   ;
92
+------------------------------------+-------------------------------------------------+
93
 
94
 
95
+------------------------------------------------------------------------------------------------------------------------------------------------------------+
96
; Fitter Settings                                                                                                                                            ;
97
+----------------------------------------------------------------------------+---------------------------------------+---------------------------------------+
98
; Option                                                                     ; Setting                               ; Default Value                         ;
99
+----------------------------------------------------------------------------+---------------------------------------+---------------------------------------+
100
; Device                                                                     ; EP4CE115F29C7                         ;                                       ;
101
; Use smart compilation                                                      ; On                                    ; Off                                   ;
102
; Minimum Core Junction Temperature                                          ; 0                                     ;                                       ;
103
; Maximum Core Junction Temperature                                          ; 85                                    ;                                       ;
104
; Fit Attempts to Skip                                                       ; 0                                     ; 0.0                                   ;
105
; Device I/O Standard                                                        ; 3.3-V LVTTL                           ;                                       ;
106
; Fitter Effort                                                              ; Fast Fit                              ; Auto Fit                              ;
107
; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On                                    ; On                                    ;
108
; Enable compact report table                                                ; Off                                   ; Off                                   ;
109
; Auto Merge PLLs                                                            ; On                                    ; On                                    ;
110
; Router Timing Optimization Level                                           ; Normal                                ; Normal                                ;
111
; Perform Clocking Topology Analysis During Routing                          ; Off                                   ; Off                                   ;
112
; Placement Effort Multiplier                                                ; 1.0                                   ; 1.0                                   ;
113
; Router Effort Multiplier                                                   ; 1.0                                   ; 1.0                                   ;
114
; Optimize Hold Timing                                                       ; All Paths                             ; All Paths                             ;
115
; Optimize Multi-Corner Timing                                               ; On                                    ; On                                    ;
116
; PowerPlay Power Optimization                                               ; Normal compilation                    ; Normal compilation                    ;
117
; SSN Optimization                                                           ; Off                                   ; Off                                   ;
118
; Optimize Timing                                                            ; Normal compilation                    ; Normal compilation                    ;
119
; Optimize Timing for ECOs                                                   ; Off                                   ; Off                                   ;
120
; Regenerate full fit report during ECO compiles                             ; Off                                   ; Off                                   ;
121
; Optimize IOC Register Placement for Timing                                 ; Normal                                ; Normal                                ;
122
; Limit to One Fitting Attempt                                               ; Off                                   ; Off                                   ;
123
; Final Placement Optimizations                                              ; Automatically                         ; Automatically                         ;
124
; Fitter Aggressive Routability Optimizations                                ; Automatically                         ; Automatically                         ;
125
; Fitter Initial Placement Seed                                              ; 1                                     ; 1                                     ;
126
; PCI I/O                                                                    ; Off                                   ; Off                                   ;
127
; Weak Pull-Up Resistor                                                      ; Off                                   ; Off                                   ;
128
; Enable Bus-Hold Circuitry                                                  ; Off                                   ; Off                                   ;
129
; Auto Packed Registers                                                      ; Auto                                  ; Auto                                  ;
130
; Auto Delay Chains                                                          ; On                                    ; On                                    ;
131
; Auto Delay Chains for High Fanout Input Pins                               ; Off                                   ; Off                                   ;
132
; Allow Single-ended Buffer for Differential-XSTL Input                      ; Off                                   ; Off                                   ;
133
; Treat Bidirectional Pin as Output Pin                                      ; Off                                   ; Off                                   ;
134
; Perform Physical Synthesis for Combinational Logic for Fitting             ; Off                                   ; Off                                   ;
135
; Perform Physical Synthesis for Combinational Logic for Performance         ; Off                                   ; Off                                   ;
136
; Perform Register Duplication for Performance                               ; Off                                   ; Off                                   ;
137
; Perform Logic to Memory Mapping for Fitting                                ; Off                                   ; Off                                   ;
138
; Perform Register Retiming for Performance                                  ; Off                                   ; Off                                   ;
139
; Perform Asynchronous Signal Pipelining                                     ; Off                                   ; Off                                   ;
140
; Physical Synthesis Effort Level                                            ; Normal                                ; Normal                                ;
141
; Logic Cell Insertion - Logic Duplication                                   ; Auto                                  ; Auto                                  ;
142
; Auto Register Duplication                                                  ; Auto                                  ; Auto                                  ;
143
; Auto Global Clock                                                          ; On                                    ; On                                    ;
144
; Auto Global Register Control Signals                                       ; On                                    ; On                                    ;
145
; Reserve all unused pins                                                    ; As input tri-stated with weak pull-up ; As input tri-stated with weak pull-up ;
146
; Synchronizer Identification                                                ; Off                                   ; Off                                   ;
147
; Enable Beneficial Skew Optimization                                        ; On                                    ; On                                    ;
148
; Optimize Design for Metastability                                          ; On                                    ; On                                    ;
149
; Force Fitter to Avoid Periphery Placement Warnings                         ; Off                                   ; Off                                   ;
150
; Enable input tri-state on active configuration pins in user mode           ; Off                                   ; Off                                   ;
151
+----------------------------------------------------------------------------+---------------------------------------+---------------------------------------+
152
 
153
 
154
Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time.
155
+-------------------------------------+
156
; Parallel Compilation                ;
157
+----------------------------+--------+
158
; Processors                 ; Number ;
159
+----------------------------+--------+
160
; Number detected on machine ; 2      ;
161
; Maximum allowed            ; 1      ;
162
+----------------------------+--------+
163
 
164
 
165
+------------------------------------------------------+
166
; I/O Assignment Warnings                              ;
167
+---------------+--------------------------------------+
168
; Pin Name      ; Reason                               ;
169
+---------------+--------------------------------------+
170
; HEX0[0]       ; Missing drive strength and slew rate ;
171
; HEX0[1]       ; Missing drive strength and slew rate ;
172
; HEX0[2]       ; Missing drive strength and slew rate ;
173
; HEX0[3]       ; Missing drive strength               ;
174
; HEX0[4]       ; Missing drive strength               ;
175
; HEX0[5]       ; Missing drive strength               ;
176
; HEX0[6]       ; Missing drive strength               ;
177
; HEX1[0]       ; Missing drive strength               ;
178
; HEX1[1]       ; Missing drive strength               ;
179
; HEX1[2]       ; Missing drive strength               ;
180
; HEX1[3]       ; Missing drive strength               ;
181
; HEX1[4]       ; Missing drive strength               ;
182
; HEX1[5]       ; Missing drive strength               ;
183
; HEX1[6]       ; Missing drive strength               ;
184
; HEX2[0]       ; Missing drive strength               ;
185
; HEX2[1]       ; Missing drive strength               ;
186
; HEX2[2]       ; Missing drive strength               ;
187
; HEX2[3]       ; Missing drive strength               ;
188
; HEX2[4]       ; Missing drive strength               ;
189
; HEX2[5]       ; Missing drive strength               ;
190
; HEX2[6]       ; Missing drive strength               ;
191
; HEX3[0]       ; Missing drive strength               ;
192
; HEX3[1]       ; Missing drive strength               ;
193
; HEX3[2]       ; Missing drive strength               ;
194
; HEX3[3]       ; Missing drive strength               ;
195
; HEX3[4]       ; Missing drive strength               ;
196
; HEX3[5]       ; Missing drive strength               ;
197
; HEX3[6]       ; Missing drive strength               ;
198
; HEX4[0]       ; Missing drive strength               ;
199
; HEX4[1]       ; Missing drive strength               ;
200
; HEX4[2]       ; Missing drive strength               ;
201
; HEX4[3]       ; Missing drive strength               ;
202
; HEX4[4]       ; Missing drive strength               ;
203
; HEX4[5]       ; Missing drive strength               ;
204
; HEX4[6]       ; Missing drive strength               ;
205
; HEX5[0]       ; Missing drive strength               ;
206
; HEX5[1]       ; Missing drive strength               ;
207
; HEX5[2]       ; Missing drive strength               ;
208
; HEX5[3]       ; Missing drive strength               ;
209
; HEX5[4]       ; Missing drive strength               ;
210
; HEX5[5]       ; Missing drive strength               ;
211
; HEX5[6]       ; Missing drive strength               ;
212
; HEX6[0]       ; Missing drive strength               ;
213
; HEX6[1]       ; Missing drive strength               ;
214
; HEX6[2]       ; Missing drive strength               ;
215
; HEX6[3]       ; Missing drive strength               ;
216
; HEX6[4]       ; Missing drive strength               ;
217
; HEX6[5]       ; Missing drive strength               ;
218
; HEX6[6]       ; Missing drive strength               ;
219
; HEX7[0]       ; Missing drive strength               ;
220
; HEX7[1]       ; Missing drive strength               ;
221
; HEX7[2]       ; Missing drive strength               ;
222
; HEX7[3]       ; Missing drive strength               ;
223
; HEX7[4]       ; Missing drive strength               ;
224
; HEX7[5]       ; Missing drive strength               ;
225
; HEX7[6]       ; Missing drive strength               ;
226
; LEDG[0]       ; Missing drive strength and slew rate ;
227
; LEDG[1]       ; Missing drive strength and slew rate ;
228
; LEDG[2]       ; Missing drive strength and slew rate ;
229
; LEDG[3]       ; Missing drive strength and slew rate ;
230
; LEDG[4]       ; Missing drive strength and slew rate ;
231
; LEDG[5]       ; Missing drive strength and slew rate ;
232
; LEDG[6]       ; Missing drive strength and slew rate ;
233
; LEDG[7]       ; Missing drive strength and slew rate ;
234
; LEDG[8]       ; Missing drive strength and slew rate ;
235
; LEDR[0]       ; Missing drive strength and slew rate ;
236
; LEDR[1]       ; Missing drive strength and slew rate ;
237
; LEDR[2]       ; Missing drive strength and slew rate ;
238
; LEDR[3]       ; Missing drive strength and slew rate ;
239
; LEDR[4]       ; Missing drive strength and slew rate ;
240
; LEDR[5]       ; Missing drive strength and slew rate ;
241
; LEDR[6]       ; Missing drive strength and slew rate ;
242
; LEDR[7]       ; Missing drive strength and slew rate ;
243
; LEDR[8]       ; Missing drive strength and slew rate ;
244
; LEDR[9]       ; Missing drive strength and slew rate ;
245
; LEDR[10]      ; Missing drive strength and slew rate ;
246
; LEDR[11]      ; Missing drive strength and slew rate ;
247
; LEDR[12]      ; Missing drive strength and slew rate ;
248
; LEDR[13]      ; Missing drive strength and slew rate ;
249
; LEDR[14]      ; Missing drive strength and slew rate ;
250
; LEDR[15]      ; Missing drive strength and slew rate ;
251
; LEDR[16]      ; Missing drive strength and slew rate ;
252
; LEDR[17]      ; Missing drive strength and slew rate ;
253
; UART_TXD      ; Missing drive strength               ;
254
; DRAM_BA_0     ; Missing drive strength               ;
255
; DRAM_BA_1     ; Missing drive strength               ;
256
; DRAM_DQM_0    ; Missing drive strength               ;
257
; DRAM_DQM_1    ; Missing drive strength               ;
258
; DRAM_DQM_2    ; Missing drive strength               ;
259
; DRAM_DQM_3    ; Missing drive strength               ;
260
; DRAM_WE_N     ; Missing drive strength               ;
261
; DRAM_CAS_N    ; Missing drive strength               ;
262
; DRAM_RAS_N    ; Missing drive strength               ;
263
; DRAM_CS_N     ; Missing drive strength               ;
264
; DRAM_ADDR[0]  ; Missing drive strength               ;
265
; DRAM_ADDR[1]  ; Missing drive strength               ;
266
; DRAM_ADDR[2]  ; Missing drive strength               ;
267
; DRAM_ADDR[3]  ; Missing drive strength               ;
268
; DRAM_ADDR[4]  ; Missing drive strength               ;
269
; DRAM_ADDR[5]  ; Missing drive strength               ;
270
; DRAM_ADDR[6]  ; Missing drive strength               ;
271
; DRAM_ADDR[7]  ; Missing drive strength               ;
272
; DRAM_ADDR[8]  ; Missing drive strength               ;
273
; DRAM_ADDR[9]  ; Missing drive strength               ;
274
; DRAM_ADDR[10] ; Missing drive strength               ;
275
; DRAM_ADDR[11] ; Missing drive strength               ;
276
; DRAM_ADDR[12] ; Missing drive strength               ;
277
; DRAM_CLK      ; Missing drive strength               ;
278
; DRAM_CKE      ; Missing drive strength               ;
279
; FL_ADDR[0]    ; Missing drive strength               ;
280
; FL_ADDR[1]    ; Missing drive strength               ;
281
; FL_ADDR[2]    ; Missing drive strength               ;
282
; FL_ADDR[3]    ; Missing drive strength               ;
283
; FL_ADDR[4]    ; Missing drive strength               ;
284
; FL_ADDR[5]    ; Missing drive strength               ;
285
; FL_ADDR[6]    ; Missing drive strength               ;
286
; FL_ADDR[7]    ; Missing drive strength               ;
287
; FL_ADDR[8]    ; Missing drive strength               ;
288
; FL_ADDR[9]    ; Missing drive strength               ;
289
; FL_ADDR[10]   ; Missing drive strength               ;
290
; FL_ADDR[11]   ; Missing drive strength               ;
291
; FL_ADDR[12]   ; Missing drive strength               ;
292
; FL_ADDR[13]   ; Missing drive strength               ;
293
; FL_ADDR[14]   ; Missing drive strength               ;
294
; FL_ADDR[15]   ; Missing drive strength               ;
295
; FL_ADDR[16]   ; Missing drive strength               ;
296
; FL_ADDR[17]   ; Missing drive strength               ;
297
; FL_ADDR[18]   ; Missing drive strength               ;
298
; FL_ADDR[19]   ; Missing drive strength               ;
299
; FL_ADDR[20]   ; Missing drive strength               ;
300
; FL_ADDR[21]   ; Missing drive strength               ;
301
; FL_ADDR[22]   ; Missing drive strength               ;
302
; FL_WP_N       ; Missing drive strength               ;
303
; FL_WE_N       ; Missing drive strength               ;
304
; FL_RST_N      ; Missing drive strength               ;
305
; FL_OE_N       ; Missing drive strength               ;
306
; FL_CE_N       ; Missing drive strength               ;
307
; SRAM_ADDR[0]  ; Missing drive strength               ;
308
; SRAM_ADDR[1]  ; Missing drive strength               ;
309
; SRAM_ADDR[2]  ; Missing drive strength               ;
310
; SRAM_ADDR[3]  ; Missing drive strength               ;
311
; SRAM_ADDR[4]  ; Missing drive strength               ;
312
; SRAM_ADDR[5]  ; Missing drive strength               ;
313
; SRAM_ADDR[6]  ; Missing drive strength               ;
314
; SRAM_ADDR[7]  ; Missing drive strength               ;
315
; SRAM_ADDR[8]  ; Missing drive strength               ;
316
; SRAM_ADDR[9]  ; Missing drive strength               ;
317
; SRAM_ADDR[10] ; Missing drive strength               ;
318
; SRAM_ADDR[11] ; Missing drive strength               ;
319
; SRAM_ADDR[12] ; Missing drive strength               ;
320
; SRAM_ADDR[13] ; Missing drive strength               ;
321
; SRAM_ADDR[14] ; Missing drive strength               ;
322
; SRAM_ADDR[15] ; Missing drive strength               ;
323
; SRAM_ADDR[16] ; Missing drive strength               ;
324
; SRAM_ADDR[17] ; Missing drive strength               ;
325
; SRAM_ADDR[18] ; Missing drive strength               ;
326
; SRAM_ADDR[19] ; Missing drive strength               ;
327
; SRAM_UB_N     ; Missing drive strength               ;
328
; SRAM_LB_N     ; Missing drive strength               ;
329
; SRAM_WE_N     ; Missing drive strength               ;
330
; SRAM_CE_N     ; Missing drive strength               ;
331
; SRAM_OE_N     ; Missing drive strength               ;
332
; SD_DAT3       ; Missing drive strength               ;
333
; SD_CMD        ; Missing drive strength               ;
334
; SD_CLK        ; Missing drive strength               ;
335
; VGA_SYNC_N    ; Missing drive strength               ;
336
; VGA_CLK       ; Missing drive strength               ;
337
; VGA_BLANK_N   ; Missing drive strength               ;
338
; VGA_HS        ; Missing drive strength               ;
339
; VGA_VS        ; Missing drive strength               ;
340
; VGA_R[0]      ; Missing drive strength               ;
341
; VGA_R[1]      ; Missing drive strength               ;
342
; VGA_R[2]      ; Missing drive strength               ;
343
; VGA_R[3]      ; Missing drive strength               ;
344
; VGA_R[4]      ; Missing drive strength               ;
345
; VGA_R[5]      ; Missing drive strength               ;
346
; VGA_R[6]      ; Missing drive strength               ;
347
; VGA_R[7]      ; Missing drive strength               ;
348
; VGA_G[0]      ; Missing drive strength               ;
349
; VGA_G[1]      ; Missing drive strength               ;
350
; VGA_G[2]      ; Missing drive strength               ;
351
; VGA_G[3]      ; Missing drive strength               ;
352
; VGA_G[4]      ; Missing drive strength               ;
353
; VGA_G[5]      ; Missing drive strength               ;
354
; VGA_G[6]      ; Missing drive strength               ;
355
; VGA_G[7]      ; Missing drive strength               ;
356
; VGA_B[0]      ; Missing drive strength               ;
357
; VGA_B[1]      ; Missing drive strength               ;
358
; VGA_B[2]      ; Missing drive strength               ;
359
; VGA_B[3]      ; Missing drive strength               ;
360
; VGA_B[4]      ; Missing drive strength               ;
361
; VGA_B[5]      ; Missing drive strength               ;
362
; VGA_B[6]      ; Missing drive strength               ;
363
; VGA_B[7]      ; Missing drive strength               ;
364
; AUD_DACDAT    ; Missing drive strength               ;
365
; AUD_XCK       ; Missing drive strength               ;
366
; LCD_RS        ; Missing drive strength               ;
367
; LCD_EN        ; Missing drive strength               ;
368
; LCD_RW        ; Missing drive strength               ;
369
; LCD_ON        ; Missing drive strength               ;
370
; LCD_BLON      ; Missing drive strength               ;
371
; SD_DAT1       ; Missing drive strength               ;
372
; SD_DAT2       ; Missing drive strength               ;
373
; PS2_DAT2      ; Missing drive strength               ;
374
; PS2_CLK2      ; Missing drive strength               ;
375
; DRAM_DQ[0]    ; Missing drive strength               ;
376
; DRAM_DQ[1]    ; Missing drive strength               ;
377
; DRAM_DQ[2]    ; Missing drive strength               ;
378
; DRAM_DQ[3]    ; Missing drive strength               ;
379
; DRAM_DQ[4]    ; Missing drive strength               ;
380
; DRAM_DQ[5]    ; Missing drive strength               ;
381
; DRAM_DQ[6]    ; Missing drive strength               ;
382
; DRAM_DQ[7]    ; Missing drive strength               ;
383
; DRAM_DQ[8]    ; Missing drive strength               ;
384
; DRAM_DQ[9]    ; Missing drive strength               ;
385
; DRAM_DQ[10]   ; Missing drive strength               ;
386
; DRAM_DQ[11]   ; Missing drive strength               ;
387
; DRAM_DQ[12]   ; Missing drive strength               ;
388
; DRAM_DQ[13]   ; Missing drive strength               ;
389
; DRAM_DQ[14]   ; Missing drive strength               ;
390
; DRAM_DQ[15]   ; Missing drive strength               ;
391
; DRAM_DQ[16]   ; Missing drive strength               ;
392
; DRAM_DQ[17]   ; Missing drive strength               ;
393
; DRAM_DQ[18]   ; Missing drive strength               ;
394
; DRAM_DQ[19]   ; Missing drive strength               ;
395
; DRAM_DQ[20]   ; Missing drive strength               ;
396
; DRAM_DQ[21]   ; Missing drive strength               ;
397
; DRAM_DQ[22]   ; Missing drive strength               ;
398
; DRAM_DQ[23]   ; Missing drive strength               ;
399
; DRAM_DQ[24]   ; Missing drive strength               ;
400
; DRAM_DQ[25]   ; Missing drive strength               ;
401
; DRAM_DQ[26]   ; Missing drive strength               ;
402
; DRAM_DQ[27]   ; Missing drive strength               ;
403
; DRAM_DQ[28]   ; Missing drive strength               ;
404
; DRAM_DQ[29]   ; Missing drive strength               ;
405
; DRAM_DQ[30]   ; Missing drive strength               ;
406
; DRAM_DQ[31]   ; Missing drive strength               ;
407
; FL_DQ[0]      ; Missing drive strength               ;
408
; FL_DQ[1]      ; Missing drive strength               ;
409
; FL_DQ[2]      ; Missing drive strength               ;
410
; FL_DQ[3]      ; Missing drive strength               ;
411
; FL_DQ[4]      ; Missing drive strength               ;
412
; FL_DQ[5]      ; Missing drive strength               ;
413
; FL_DQ[6]      ; Missing drive strength               ;
414
; FL_DQ[7]      ; Missing drive strength               ;
415
; SRAM_DQ[0]    ; Missing drive strength               ;
416
; SRAM_DQ[1]    ; Missing drive strength               ;
417
; SRAM_DQ[2]    ; Missing drive strength               ;
418
; SRAM_DQ[3]    ; Missing drive strength               ;
419
; SRAM_DQ[4]    ; Missing drive strength               ;
420
; SRAM_DQ[5]    ; Missing drive strength               ;
421
; SRAM_DQ[6]    ; Missing drive strength               ;
422
; SRAM_DQ[7]    ; Missing drive strength               ;
423
; SRAM_DQ[8]    ; Missing drive strength               ;
424
; SRAM_DQ[9]    ; Missing drive strength               ;
425
; SRAM_DQ[10]   ; Missing drive strength               ;
426
; SRAM_DQ[11]   ; Missing drive strength               ;
427
; SRAM_DQ[12]   ; Missing drive strength               ;
428
; SRAM_DQ[13]   ; Missing drive strength               ;
429
; SRAM_DQ[14]   ; Missing drive strength               ;
430
; SRAM_DQ[15]   ; Missing drive strength               ;
431
; PS2_DAT       ; Missing drive strength               ;
432
; PS2_CLK       ; Missing drive strength               ;
433
; AUD_ADCLRCK   ; Missing drive strength               ;
434
; AUD_DACLRCK   ; Missing drive strength               ;
435
; AUD_BCLK      ; Missing drive strength               ;
436
; LCD_DATA[0]   ; Missing drive strength               ;
437
; LCD_DATA[1]   ; Missing drive strength               ;
438
; LCD_DATA[2]   ; Missing drive strength               ;
439
; LCD_DATA[3]   ; Missing drive strength               ;
440
; LCD_DATA[4]   ; Missing drive strength               ;
441
; LCD_DATA[5]   ; Missing drive strength               ;
442
; LCD_DATA[6]   ; Missing drive strength               ;
443
; LCD_DATA[7]   ; Missing drive strength               ;
444
+---------------+--------------------------------------+
445
 
446
 
447
+--------------------------------------------------------------------------------------------------+
448
; Ignored Assignments                                                                              ;
449
+--------------+----------------+--------------+------------------+---------------+----------------+
450
; Name         ; Ignored Entity ; Ignored From ; Ignored To       ; Ignored Value ; Ignored Source ;
451
+--------------+----------------+--------------+------------------+---------------+----------------+
452
; Location     ;                ;              ; CLOCK2_50        ; PIN_AG14      ; QSF Assignment ;
453
; Location     ;                ;              ; CLOCK3_50        ; PIN_AG15      ; QSF Assignment ;
454
; Location     ;                ;              ; EEP_I2C_SCLK     ; PIN_D14       ; QSF Assignment ;
455
; Location     ;                ;              ; EEP_I2C_SDAT     ; PIN_E14       ; QSF Assignment ;
456
; Location     ;                ;              ; ENET0_GTX_CLK    ; PIN_A17       ; QSF Assignment ;
457
; Location     ;                ;              ; ENET0_INT_N      ; PIN_A21       ; QSF Assignment ;
458
; Location     ;                ;              ; ENET0_LINK100    ; PIN_C14       ; QSF Assignment ;
459
; Location     ;                ;              ; ENET0_MDC        ; PIN_C20       ; QSF Assignment ;
460
; Location     ;                ;              ; ENET0_MDIO       ; PIN_B21       ; QSF Assignment ;
461
; Location     ;                ;              ; ENET0_RST_N      ; PIN_C19       ; QSF Assignment ;
462
; Location     ;                ;              ; ENET0_RX_CLK     ; PIN_A15       ; QSF Assignment ;
463
; Location     ;                ;              ; ENET0_RX_COL     ; PIN_E15       ; QSF Assignment ;
464
; Location     ;                ;              ; ENET0_RX_CRS     ; PIN_D15       ; QSF Assignment ;
465
; Location     ;                ;              ; ENET0_RX_DATA[0] ; PIN_C16       ; QSF Assignment ;
466
; Location     ;                ;              ; ENET0_RX_DATA[1] ; PIN_D16       ; QSF Assignment ;
467
; Location     ;                ;              ; ENET0_RX_DATA[2] ; PIN_D17       ; QSF Assignment ;
468
; Location     ;                ;              ; ENET0_RX_DATA[3] ; PIN_C15       ; QSF Assignment ;
469
; Location     ;                ;              ; ENET0_RX_DV      ; PIN_C17       ; QSF Assignment ;
470
; Location     ;                ;              ; ENET0_RX_ER      ; PIN_D18       ; QSF Assignment ;
471
; Location     ;                ;              ; ENET0_TX_CLK     ; PIN_B17       ; QSF Assignment ;
472
; Location     ;                ;              ; ENET0_TX_DATA[0] ; PIN_C18       ; QSF Assignment ;
473
; Location     ;                ;              ; ENET0_TX_DATA[1] ; PIN_D19       ; QSF Assignment ;
474
; Location     ;                ;              ; ENET0_TX_DATA[2] ; PIN_A19       ; QSF Assignment ;
475
; Location     ;                ;              ; ENET0_TX_DATA[3] ; PIN_B19       ; QSF Assignment ;
476
; Location     ;                ;              ; ENET0_TX_EN      ; PIN_A18       ; QSF Assignment ;
477
; Location     ;                ;              ; ENET0_TX_ER      ; PIN_B18       ; QSF Assignment ;
478
; Location     ;                ;              ; ENET1_GTX_CLK    ; PIN_C23       ; QSF Assignment ;
479
; Location     ;                ;              ; ENET1_INT_N      ; PIN_D24       ; QSF Assignment ;
480
; Location     ;                ;              ; ENET1_LINK100    ; PIN_D13       ; QSF Assignment ;
481
; Location     ;                ;              ; ENET1_MDC        ; PIN_D23       ; QSF Assignment ;
482
; Location     ;                ;              ; ENET1_MDIO       ; PIN_D25       ; QSF Assignment ;
483
; Location     ;                ;              ; ENET1_RST_N      ; PIN_D22       ; QSF Assignment ;
484
; Location     ;                ;              ; ENET1_RX_CLK     ; PIN_B15       ; QSF Assignment ;
485
; Location     ;                ;              ; ENET1_RX_COL     ; PIN_B22       ; QSF Assignment ;
486
; Location     ;                ;              ; ENET1_RX_CRS     ; PIN_D20       ; QSF Assignment ;
487
; Location     ;                ;              ; ENET1_RX_DATA[0] ; PIN_B23       ; QSF Assignment ;
488
; Location     ;                ;              ; ENET1_RX_DATA[1] ; PIN_C21       ; QSF Assignment ;
489
; Location     ;                ;              ; ENET1_RX_DATA[2] ; PIN_A23       ; QSF Assignment ;
490
; Location     ;                ;              ; ENET1_RX_DATA[3] ; PIN_D21       ; QSF Assignment ;
491
; Location     ;                ;              ; ENET1_RX_DV      ; PIN_A22       ; QSF Assignment ;
492
; Location     ;                ;              ; ENET1_RX_ER      ; PIN_C24       ; QSF Assignment ;
493
; Location     ;                ;              ; ENET1_TX_CLK     ; PIN_C22       ; QSF Assignment ;
494
; Location     ;                ;              ; ENET1_TX_DATA[0] ; PIN_C25       ; QSF Assignment ;
495
; Location     ;                ;              ; ENET1_TX_DATA[1] ; PIN_A26       ; QSF Assignment ;
496
; Location     ;                ;              ; ENET1_TX_DATA[2] ; PIN_B26       ; QSF Assignment ;
497
; Location     ;                ;              ; ENET1_TX_DATA[3] ; PIN_C26       ; QSF Assignment ;
498
; Location     ;                ;              ; ENET1_TX_EN      ; PIN_B25       ; QSF Assignment ;
499
; Location     ;                ;              ; ENET1_TX_ER      ; PIN_A25       ; QSF Assignment ;
500
; Location     ;                ;              ; ENETCLK_25       ; PIN_A14       ; QSF Assignment ;
501
; Location     ;                ;              ; EX_IO[0]         ; PIN_J10       ; QSF Assignment ;
502
; Location     ;                ;              ; EX_IO[1]         ; PIN_J14       ; QSF Assignment ;
503
; Location     ;                ;              ; EX_IO[2]         ; PIN_H13       ; QSF Assignment ;
504
; Location     ;                ;              ; EX_IO[3]         ; PIN_H14       ; QSF Assignment ;
505
; Location     ;                ;              ; EX_IO[4]         ; PIN_F14       ; QSF Assignment ;
506
; Location     ;                ;              ; EX_IO[5]         ; PIN_E10       ; QSF Assignment ;
507
; Location     ;                ;              ; EX_IO[6]         ; PIN_D9        ; QSF Assignment ;
508
; Location     ;                ;              ; I2C_SCLK         ; PIN_B7        ; QSF Assignment ;
509
; Location     ;                ;              ; I2C_SDAT         ; PIN_A8        ; QSF Assignment ;
510
; Location     ;                ;              ; IRDA_RXD         ; PIN_Y15       ; QSF Assignment ;
511
; Location     ;                ;              ; OTG_ADDR[0]      ; PIN_H7        ; QSF Assignment ;
512
; Location     ;                ;              ; OTG_ADDR[1]      ; PIN_C3        ; QSF Assignment ;
513
; Location     ;                ;              ; OTG_CS_N         ; PIN_A3        ; QSF Assignment ;
514
; Location     ;                ;              ; OTG_DATA[0]      ; PIN_J6        ; QSF Assignment ;
515
; Location     ;                ;              ; OTG_DATA[10]     ; PIN_G1        ; QSF Assignment ;
516
; Location     ;                ;              ; OTG_DATA[11]     ; PIN_G2        ; QSF Assignment ;
517
; Location     ;                ;              ; OTG_DATA[12]     ; PIN_G3        ; QSF Assignment ;
518
; Location     ;                ;              ; OTG_DATA[13]     ; PIN_F1        ; QSF Assignment ;
519
; Location     ;                ;              ; OTG_DATA[14]     ; PIN_F3        ; QSF Assignment ;
520
; Location     ;                ;              ; OTG_DATA[15]     ; PIN_G4        ; QSF Assignment ;
521
; Location     ;                ;              ; OTG_DATA[1]      ; PIN_K4        ; QSF Assignment ;
522
; Location     ;                ;              ; OTG_DATA[2]      ; PIN_J5        ; QSF Assignment ;
523
; Location     ;                ;              ; OTG_DATA[3]      ; PIN_K3        ; QSF Assignment ;
524
; Location     ;                ;              ; OTG_DATA[4]      ; PIN_J4        ; QSF Assignment ;
525
; Location     ;                ;              ; OTG_DATA[5]      ; PIN_J3        ; QSF Assignment ;
526
; Location     ;                ;              ; OTG_DATA[6]      ; PIN_J7        ; QSF Assignment ;
527
; Location     ;                ;              ; OTG_DATA[7]      ; PIN_H6        ; QSF Assignment ;
528
; Location     ;                ;              ; OTG_DATA[8]      ; PIN_H3        ; QSF Assignment ;
529
; Location     ;                ;              ; OTG_DATA[9]      ; PIN_H4        ; QSF Assignment ;
530
; Location     ;                ;              ; OTG_INT          ; PIN_D5        ; QSF Assignment ;
531
; Location     ;                ;              ; OTG_RD_N         ; PIN_B3        ; QSF Assignment ;
532
; Location     ;                ;              ; OTG_RST_N        ; PIN_C5        ; QSF Assignment ;
533
; Location     ;                ;              ; OTG_WE_N         ; PIN_A4        ; QSF Assignment ;
534
; Location     ;                ;              ; SD_WP_N          ; PIN_AF14      ; QSF Assignment ;
535
; Location     ;                ;              ; SMA_CLKIN        ; PIN_AH14      ; QSF Assignment ;
536
; Location     ;                ;              ; SMA_CLKOUT       ; PIN_AE23      ; QSF Assignment ;
537
; Location     ;                ;              ; TD_CLK27         ; PIN_B14       ; QSF Assignment ;
538
; Location     ;                ;              ; TD_DATA[0]       ; PIN_E8        ; QSF Assignment ;
539
; Location     ;                ;              ; TD_DATA[1]       ; PIN_A7        ; QSF Assignment ;
540
; Location     ;                ;              ; TD_DATA[2]       ; PIN_D8        ; QSF Assignment ;
541
; Location     ;                ;              ; TD_DATA[3]       ; PIN_C7        ; QSF Assignment ;
542
; Location     ;                ;              ; TD_DATA[4]       ; PIN_D7        ; QSF Assignment ;
543
; Location     ;                ;              ; TD_DATA[5]       ; PIN_D6        ; QSF Assignment ;
544
; Location     ;                ;              ; TD_DATA[6]       ; PIN_E7        ; QSF Assignment ;
545
; Location     ;                ;              ; TD_DATA[7]       ; PIN_F7        ; QSF Assignment ;
546
; Location     ;                ;              ; TD_HS            ; PIN_E5        ; QSF Assignment ;
547
; Location     ;                ;              ; TD_RESET_N       ; PIN_G7        ; QSF Assignment ;
548
; Location     ;                ;              ; TD_VS            ; PIN_E4        ; QSF Assignment ;
549
; I/O Standard ;                ;              ; CLOCK2_50        ; 3.3-V LVTTL   ; QSF Assignment ;
550
; I/O Standard ;                ;              ; CLOCK3_50        ; 3.3-V LVTTL   ; QSF Assignment ;
551
; I/O Standard ;                ;              ; EEP_I2C_SCLK     ; 3.3-V LVTTL   ; QSF Assignment ;
552
; I/O Standard ;                ;              ; EEP_I2C_SDAT     ; 3.3-V LVTTL   ; QSF Assignment ;
553
; I/O Standard ;                ;              ; ENET0_GTX_CLK    ; 2.5 V         ; QSF Assignment ;
554
; I/O Standard ;                ;              ; ENET0_INT_N      ; 2.5 V         ; QSF Assignment ;
555
; I/O Standard ;                ;              ; ENET0_LINK100    ; 3.3-V LVTTL   ; QSF Assignment ;
556
; I/O Standard ;                ;              ; ENET0_MDC        ; 2.5 V         ; QSF Assignment ;
557
; I/O Standard ;                ;              ; ENET0_MDIO       ; 2.5 V         ; QSF Assignment ;
558
; I/O Standard ;                ;              ; ENET0_RST_N      ; 2.5 V         ; QSF Assignment ;
559
; I/O Standard ;                ;              ; ENET0_RX_CLK     ; 2.5 V         ; QSF Assignment ;
560
; I/O Standard ;                ;              ; ENET0_RX_COL     ; 2.5 V         ; QSF Assignment ;
561
; I/O Standard ;                ;              ; ENET0_RX_CRS     ; 2.5 V         ; QSF Assignment ;
562
; I/O Standard ;                ;              ; ENET0_RX_DATA[0] ; 2.5 V         ; QSF Assignment ;
563
; I/O Standard ;                ;              ; ENET0_RX_DATA[1] ; 2.5 V         ; QSF Assignment ;
564
; I/O Standard ;                ;              ; ENET0_RX_DATA[2] ; 2.5 V         ; QSF Assignment ;
565
; I/O Standard ;                ;              ; ENET0_RX_DATA[3] ; 2.5 V         ; QSF Assignment ;
566
; I/O Standard ;                ;              ; ENET0_RX_DV      ; 2.5 V         ; QSF Assignment ;
567
; I/O Standard ;                ;              ; ENET0_RX_ER      ; 2.5 V         ; QSF Assignment ;
568
; I/O Standard ;                ;              ; ENET0_TX_CLK     ; 2.5 V         ; QSF Assignment ;
569
; I/O Standard ;                ;              ; ENET0_TX_DATA[0] ; 2.5 V         ; QSF Assignment ;
570
; I/O Standard ;                ;              ; ENET0_TX_DATA[1] ; 2.5 V         ; QSF Assignment ;
571
; I/O Standard ;                ;              ; ENET0_TX_DATA[2] ; 2.5 V         ; QSF Assignment ;
572
; I/O Standard ;                ;              ; ENET0_TX_DATA[3] ; 2.5 V         ; QSF Assignment ;
573
; I/O Standard ;                ;              ; ENET0_TX_EN      ; 2.5 V         ; QSF Assignment ;
574
; I/O Standard ;                ;              ; ENET0_TX_ER      ; 2.5 V         ; QSF Assignment ;
575
; I/O Standard ;                ;              ; ENET1_GTX_CLK    ; 2.5 V         ; QSF Assignment ;
576
; I/O Standard ;                ;              ; ENET1_INT_N      ; 2.5 V         ; QSF Assignment ;
577
; I/O Standard ;                ;              ; ENET1_LINK100    ; 3.3-V LVTTL   ; QSF Assignment ;
578
; I/O Standard ;                ;              ; ENET1_MDC        ; 2.5 V         ; QSF Assignment ;
579
; I/O Standard ;                ;              ; ENET1_MDIO       ; 2.5 V         ; QSF Assignment ;
580
; I/O Standard ;                ;              ; ENET1_RST_N      ; 2.5 V         ; QSF Assignment ;
581
; I/O Standard ;                ;              ; ENET1_RX_CLK     ; 2.5 V         ; QSF Assignment ;
582
; I/O Standard ;                ;              ; ENET1_RX_COL     ; 2.5 V         ; QSF Assignment ;
583
; I/O Standard ;                ;              ; ENET1_RX_CRS     ; 2.5 V         ; QSF Assignment ;
584
; I/O Standard ;                ;              ; ENET1_RX_DATA[0] ; 2.5 V         ; QSF Assignment ;
585
; I/O Standard ;                ;              ; ENET1_RX_DATA[1] ; 2.5 V         ; QSF Assignment ;
586
; I/O Standard ;                ;              ; ENET1_RX_DATA[2] ; 2.5 V         ; QSF Assignment ;
587
; I/O Standard ;                ;              ; ENET1_RX_DATA[3] ; 2.5 V         ; QSF Assignment ;
588
; I/O Standard ;                ;              ; ENET1_RX_DV      ; 2.5 V         ; QSF Assignment ;
589
; I/O Standard ;                ;              ; ENET1_RX_ER      ; 2.5 V         ; QSF Assignment ;
590
; I/O Standard ;                ;              ; ENET1_TX_CLK     ; 2.5 V         ; QSF Assignment ;
591
; I/O Standard ;                ;              ; ENET1_TX_DATA[0] ; 2.5 V         ; QSF Assignment ;
592
; I/O Standard ;                ;              ; ENET1_TX_DATA[1] ; 2.5 V         ; QSF Assignment ;
593
; I/O Standard ;                ;              ; ENET1_TX_DATA[2] ; 2.5 V         ; QSF Assignment ;
594
; I/O Standard ;                ;              ; ENET1_TX_DATA[3] ; 2.5 V         ; QSF Assignment ;
595
; I/O Standard ;                ;              ; ENET1_TX_EN      ; 2.5 V         ; QSF Assignment ;
596
; I/O Standard ;                ;              ; ENET1_TX_ER      ; 2.5 V         ; QSF Assignment ;
597
; I/O Standard ;                ;              ; ENETCLK_25       ; 3.3-V LVTTL   ; QSF Assignment ;
598
; I/O Standard ;                ;              ; EX_IO[0]         ; 3.3-V LVTTL   ; QSF Assignment ;
599
; I/O Standard ;                ;              ; EX_IO[1]         ; 3.3-V LVTTL   ; QSF Assignment ;
600
; I/O Standard ;                ;              ; EX_IO[2]         ; 3.3-V LVTTL   ; QSF Assignment ;
601
; I/O Standard ;                ;              ; EX_IO[3]         ; 3.3-V LVTTL   ; QSF Assignment ;
602
; I/O Standard ;                ;              ; EX_IO[4]         ; 3.3-V LVTTL   ; QSF Assignment ;
603
; I/O Standard ;                ;              ; EX_IO[5]         ; 3.3-V LVTTL   ; QSF Assignment ;
604
; I/O Standard ;                ;              ; EX_IO[6]         ; 3.3-V LVTTL   ; QSF Assignment ;
605
; I/O Standard ;                ;              ; I2C_SCLK         ; 3.3-V LVTTL   ; QSF Assignment ;
606
; I/O Standard ;                ;              ; I2C_SDAT         ; 3.3-V LVTTL   ; QSF Assignment ;
607
; I/O Standard ;                ;              ; IRDA_RXD         ; 3.3-V LVTTL   ; QSF Assignment ;
608
; I/O Standard ;                ;              ; OTG_ADDR[0]      ; 3.3-V LVTTL   ; QSF Assignment ;
609
; I/O Standard ;                ;              ; OTG_ADDR[1]      ; 3.3-V LVTTL   ; QSF Assignment ;
610
; I/O Standard ;                ;              ; OTG_CS_N         ; 3.3-V LVTTL   ; QSF Assignment ;
611
; I/O Standard ;                ;              ; OTG_DATA[0]      ; 3.3-V LVTTL   ; QSF Assignment ;
612
; I/O Standard ;                ;              ; OTG_DATA[10]     ; 3.3-V LVTTL   ; QSF Assignment ;
613
; I/O Standard ;                ;              ; OTG_DATA[11]     ; 3.3-V LVTTL   ; QSF Assignment ;
614
; I/O Standard ;                ;              ; OTG_DATA[12]     ; 3.3-V LVTTL   ; QSF Assignment ;
615
; I/O Standard ;                ;              ; OTG_DATA[13]     ; 3.3-V LVTTL   ; QSF Assignment ;
616
; I/O Standard ;                ;              ; OTG_DATA[14]     ; 3.3-V LVTTL   ; QSF Assignment ;
617
; I/O Standard ;                ;              ; OTG_DATA[15]     ; 3.3-V LVTTL   ; QSF Assignment ;
618
; I/O Standard ;                ;              ; OTG_DATA[1]      ; 3.3-V LVTTL   ; QSF Assignment ;
619
; I/O Standard ;                ;              ; OTG_DATA[2]      ; 3.3-V LVTTL   ; QSF Assignment ;
620
; I/O Standard ;                ;              ; OTG_DATA[3]      ; 3.3-V LVTTL   ; QSF Assignment ;
621
; I/O Standard ;                ;              ; OTG_DATA[4]      ; 3.3-V LVTTL   ; QSF Assignment ;
622
; I/O Standard ;                ;              ; OTG_DATA[5]      ; 3.3-V LVTTL   ; QSF Assignment ;
623
; I/O Standard ;                ;              ; OTG_DATA[6]      ; 3.3-V LVTTL   ; QSF Assignment ;
624
; I/O Standard ;                ;              ; OTG_DATA[7]      ; 3.3-V LVTTL   ; QSF Assignment ;
625
; I/O Standard ;                ;              ; OTG_DATA[8]      ; 3.3-V LVTTL   ; QSF Assignment ;
626
; I/O Standard ;                ;              ; OTG_DATA[9]      ; 3.3-V LVTTL   ; QSF Assignment ;
627
; I/O Standard ;                ;              ; OTG_INT          ; 3.3-V LVTTL   ; QSF Assignment ;
628
; I/O Standard ;                ;              ; OTG_RD_N         ; 3.3-V LVTTL   ; QSF Assignment ;
629
; I/O Standard ;                ;              ; OTG_RST_N        ; 3.3-V LVTTL   ; QSF Assignment ;
630
; I/O Standard ;                ;              ; OTG_WE_N         ; 3.3-V LVTTL   ; QSF Assignment ;
631
; I/O Standard ;                ;              ; SD_WP_N          ; 3.3-V LVTTL   ; QSF Assignment ;
632
; I/O Standard ;                ;              ; SMA_CLKIN        ; 3.3-V LVTTL   ; QSF Assignment ;
633
; I/O Standard ;                ;              ; SMA_CLKOUT       ; 3.3-V LVTTL   ; QSF Assignment ;
634
; I/O Standard ;                ;              ; TD_CLK27         ; 3.3-V LVTTL   ; QSF Assignment ;
635
; I/O Standard ;                ;              ; TD_DATA[0]       ; 3.3-V LVTTL   ; QSF Assignment ;
636
; I/O Standard ;                ;              ; TD_DATA[1]       ; 3.3-V LVTTL   ; QSF Assignment ;
637
; I/O Standard ;                ;              ; TD_DATA[2]       ; 3.3-V LVTTL   ; QSF Assignment ;
638
; I/O Standard ;                ;              ; TD_DATA[3]       ; 3.3-V LVTTL   ; QSF Assignment ;
639
; I/O Standard ;                ;              ; TD_DATA[4]       ; 3.3-V LVTTL   ; QSF Assignment ;
640
; I/O Standard ;                ;              ; TD_DATA[5]       ; 3.3-V LVTTL   ; QSF Assignment ;
641
; I/O Standard ;                ;              ; TD_DATA[6]       ; 3.3-V LVTTL   ; QSF Assignment ;
642
; I/O Standard ;                ;              ; TD_DATA[7]       ; 3.3-V LVTTL   ; QSF Assignment ;
643
; I/O Standard ;                ;              ; TD_HS            ; 3.3-V LVTTL   ; QSF Assignment ;
644
; I/O Standard ;                ;              ; TD_RESET_N       ; 3.3-V LVTTL   ; QSF Assignment ;
645
; I/O Standard ;                ;              ; TD_VS            ; 3.3-V LVTTL   ; QSF Assignment ;
646
+--------------+----------------+--------------+------------------+---------------+----------------+
647
 
648
 
649
+----------------------------------------------+
650
; Incremental Compilation Preservation Summary ;
651
+---------------------+------------------------+
652
; Type                ; Value                  ;
653
+---------------------+------------------------+
654
; Placement (by node) ;                        ;
655
;     -- Requested    ; 0 / 4463 ( 0.00 % )    ;
656
;     -- Achieved     ; 0 / 4463 ( 0.00 % )    ;
657
;                     ;                        ;
658
; Routing (by net)    ;                        ;
659
;     -- Requested    ; 0 / 0 ( 0.00 % )       ;
660
;     -- Achieved     ; 0 / 0 ( 0.00 % )       ;
661
+---------------------+------------------------+
662
 
663
 
664
+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
665
; Incremental Compilation Partition Settings                                                                                                                                             ;
666
+--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+
667
; Partition Name                 ; Partition Type ; Netlist Type Used ; Preservation Level Used ; Netlist Type Requested ; Preservation Level Requested ; Contents                       ;
668
+--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+
669
; Top                            ; User-created   ; Source File       ; N/A                     ; Source File            ; N/A                          ;                                ;
670
; hard_block:auto_generated_inst ; Auto-generated ; Source File       ; N/A                     ; Source File            ; N/A                          ; hard_block:auto_generated_inst ;
671
+--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+
672
 
673
 
674
+------------------------------------------------------------------------------------------------------------+
675
; Incremental Compilation Placement Preservation                                                             ;
676
+--------------------------------+---------+-------------------+-------------------------+-------------------+
677
; Partition Name                 ; # Nodes ; # Preserved Nodes ; Preservation Level Used ; Netlist Type Used ;
678
+--------------------------------+---------+-------------------+-------------------------+-------------------+
679
; Top                            ; 4453    ; 0                 ; N/A                     ; Source File       ;
680
; hard_block:auto_generated_inst ; 10      ; 0                 ; N/A                     ; Source File       ;
681
+--------------------------------+---------+-------------------+-------------------------+-------------------+
682
 
683
 
684
+--------------+
685
; Pin-Out File ;
686
+--------------+
687
The pin-out file can be found in F:/z80soc-local/hw/0.7.3/DE2115/073DE2115e.pin.
688
 
689
 
690
+---------------------------------------------------------------------------+
691
; Fitter Resource Usage Summary                                             ;
692
+---------------------------------------------+-----------------------------+
693
; Resource                                    ; Usage                       ;
694
+---------------------------------------------+-----------------------------+
695
; Total logic elements                        ; 3,187 / 114,480 ( 3 % )     ;
696
;     -- Combinational with no register       ; 2562                        ;
697
;     -- Register only                        ; 89                          ;
698
;     -- Combinational with a register        ; 536                         ;
699
;                                             ;                             ;
700
; Logic element usage by number of LUT inputs ;                             ;
701
;     -- 4 input functions                    ; 1995                        ;
702
;     -- 3 input functions                    ; 778                         ;
703
;     -- <=2 input functions                  ; 325                         ;
704
;     -- Register only                        ; 89                          ;
705
;                                             ;                             ;
706
; Logic elements by mode                      ;                             ;
707
;     -- normal mode                          ; 2889                        ;
708
;     -- arithmetic mode                      ; 209                         ;
709
;                                             ;                             ;
710
; Total registers*                            ; 625 / 117,053 ( < 1 % )     ;
711
;     -- Dedicated logic registers            ; 625 / 114,480 ( < 1 % )     ;
712
;     -- I/O registers                        ; 0 / 2,573 ( 0 % )           ;
713
;                                             ;                             ;
714
; Total LABs:  partially or completely used   ; 226 / 7,155 ( 3 % )         ;
715
; Virtual pins                                ; 0                           ;
716
; I/O pins                                    ; 303 / 529 ( 57 % )          ;
717
;     -- Clock pins                           ; 1 / 7 ( 14 % )              ;
718
;     -- Dedicated input pins                 ; 0 / 9 ( 0 % )               ;
719
;                                             ;                             ;
720
; Global signals                              ; 20                          ;
721
; M9Ks                                        ; 26 / 432 ( 6 % )            ;
722
; Total block memory bits                     ; 196,600 / 3,981,312 ( 5 % ) ;
723
; Total block memory implementation bits      ; 239,616 / 3,981,312 ( 6 % ) ;
724
; Embedded Multiplier 9-bit elements          ; 0 / 532 ( 0 % )             ;
725
; PLLs                                        ; 0 / 4 ( 0 % )               ;
726
; Global clocks                               ; 20 / 20 ( 100 % )           ;
727
; JTAGs                                       ; 0 / 1 ( 0 % )               ;
728
; CRC blocks                                  ; 0 / 1 ( 0 % )               ;
729
; ASMI blocks                                 ; 0 / 1 ( 0 % )               ;
730
; Impedance control blocks                    ; 0 / 4 ( 0 % )               ;
731
; Average interconnect usage (total/H/V)      ; 2% / 2% / 2%                ;
732
; Peak interconnect usage (total/H/V)         ; 38% / 36% / 41%             ;
733
; Maximum fan-out                             ; 403                         ;
734
; Highest non-global fan-out                  ; 221                         ;
735
; Total fan-out                               ; 14025                       ;
736
; Average fan-out                             ; 3.09                        ;
737
+---------------------------------------------+-----------------------------+
738
*  Register count does not include registers inside RAM blocks or DSP blocks.
739
 
740
 
741
 
742
+-------------------------------------------------------------------------------------------------------+
743
; Fitter Partition Statistics                                                                           ;
744
+---------------------------------------------+------------------------+--------------------------------+
745
; Statistic                                   ; Top                    ; hard_block:auto_generated_inst ;
746
+---------------------------------------------+------------------------+--------------------------------+
747
; Difficulty Clustering Region                ; Low                    ; Low                            ;
748
;                                             ;                        ;                                ;
749
; Total logic elements                        ; 3187 / 114480 ( 3 % )  ; 0 / 114480 ( 0 % )             ;
750
;     -- Combinational with no register       ; 2562                   ; 0                              ;
751
;     -- Register only                        ; 89                     ; 0                              ;
752
;     -- Combinational with a register        ; 536                    ; 0                              ;
753
;                                             ;                        ;                                ;
754
; Logic element usage by number of LUT inputs ;                        ;                                ;
755
;     -- 4 input functions                    ; 1995                   ; 0                              ;
756
;     -- 3 input functions                    ; 778                    ; 0                              ;
757
;     -- <=2 input functions                  ; 325                    ; 0                              ;
758
;     -- Register only                        ; 89                     ; 0                              ;
759
;                                             ;                        ;                                ;
760
; Logic elements by mode                      ;                        ;                                ;
761
;     -- normal mode                          ; 2889                   ; 0                              ;
762
;     -- arithmetic mode                      ; 209                    ; 0                              ;
763
;                                             ;                        ;                                ;
764
; Total registers                             ; 625                    ; 0                              ;
765
;     -- Dedicated logic registers            ; 625 / 114480 ( < 1 % ) ; 0 / 114480 ( 0 % )             ;
766
;                                             ;                        ;                                ;
767
; Total LABs:  partially or completely used   ; 226 / 7155 ( 3 % )     ; 0 / 7155 ( 0 % )               ;
768
;                                             ;                        ;                                ;
769
; Virtual pins                                ; 0                      ; 0                              ;
770
; I/O pins                                    ; 303                    ; 0                              ;
771
; Embedded Multiplier 9-bit elements          ; 0 / 532 ( 0 % )        ; 0 / 532 ( 0 % )                ;
772
; Total memory bits                           ; 196600                 ; 0                              ;
773
; Total RAM block bits                        ; 239616                 ; 0                              ;
774
; M9K                                         ; 26 / 432 ( 6 % )       ; 0 / 432 ( 0 % )                ;
775
; Clock control block                         ; 20 / 24 ( 83 % )       ; 0 / 24 ( 0 % )                 ;
776
;                                             ;                        ;                                ;
777
; Connections                                 ;                        ;                                ;
778
;     -- Input Connections                    ; 73                     ; 0                              ;
779
;     -- Registered Input Connections         ; 0                      ; 0                              ;
780
;     -- Output Connections                   ; 73                     ; 0                              ;
781
;     -- Registered Output Connections        ; 0                      ; 0                              ;
782
;                                             ;                        ;                                ;
783
; Internal Connections                        ;                        ;                                ;
784
;     -- Total Connections                    ; 14034                  ; 5                              ;
785
;     -- Registered Connections               ; 5730                   ; 0                              ;
786
;                                             ;                        ;                                ;
787
; External Connections                        ;                        ;                                ;
788
;     -- Top                                  ; 146                    ; 0                              ;
789
;     -- hard_block:auto_generated_inst       ; 0                      ; 0                              ;
790
;                                             ;                        ;                                ;
791
; Partition Interface                         ;                        ;                                ;
792
;     -- Input Ports                          ; 29                     ; 0                              ;
793
;     -- Output Ports                         ; 201                    ; 0                              ;
794
;     -- Bidir Ports                          ; 73                     ; 0                              ;
795
;                                             ;                        ;                                ;
796
; Registered Ports                            ;                        ;                                ;
797
;     -- Registered Input Ports               ; 0                      ; 0                              ;
798
;     -- Registered Output Ports              ; 0                      ; 0                              ;
799
;                                             ;                        ;                                ;
800
; Port Connectivity                           ;                        ;                                ;
801
;     -- Input Ports driven by GND            ; 0                      ; 0                              ;
802
;     -- Output Ports driven by GND           ; 0                      ; 0                              ;
803
;     -- Input Ports driven by VCC            ; 0                      ; 0                              ;
804
;     -- Output Ports driven by VCC           ; 0                      ; 0                              ;
805
;     -- Input Ports with no Source           ; 0                      ; 0                              ;
806
;     -- Output Ports with no Source          ; 0                      ; 0                              ;
807
;     -- Input Ports with no Fanout           ; 0                      ; 0                              ;
808
;     -- Output Ports with no Fanout          ; 0                      ; 0                              ;
809
+---------------------------------------------+------------------------+--------------------------------+
810
 
811
 
812
+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
813
; Input Pins                                                                                                                                                                                                                                                                      ;
814
+------------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+
815
; Name       ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Power Up High ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Termination Control Block ; Location assigned by ;
816
+------------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+
817
; AUD_ADCDAT ; D2    ; 1        ; 0            ; 68           ; 0            ; 0                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
818
; CLOCK_50   ; Y2    ; 2        ; 0            ; 36           ; 14           ; 108                   ; 0                  ; yes    ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
819
; FL_RY      ; Y1    ; 2        ; 0            ; 36           ; 21           ; 0                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
820
; KEY[0]     ; M23   ; 6        ; 115          ; 40           ; 7            ; 1                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
821
; KEY[1]     ; M21   ; 6        ; 115          ; 53           ; 14           ; 1                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
822
; KEY[2]     ; N21   ; 6        ; 115          ; 42           ; 14           ; 1                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
823
; KEY[3]     ; R24   ; 5        ; 115          ; 35           ; 21           ; 1                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
824
; SD_DAT0    ; AE14  ; 3        ; 49           ; 0            ; 21           ; 0                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
825
; SW[0]      ; AB28  ; 5        ; 115          ; 17           ; 0            ; 1                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
826
; SW[10]     ; AC24  ; 5        ; 115          ; 4            ; 14           ; 1                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
827
; SW[11]     ; AB24  ; 5        ; 115          ; 5            ; 14           ; 1                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
828
; SW[12]     ; AB23  ; 5        ; 115          ; 7            ; 14           ; 1                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
829
; SW[13]     ; AA24  ; 5        ; 115          ; 9            ; 21           ; 1                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
830
; SW[14]     ; AA23  ; 5        ; 115          ; 10           ; 7            ; 1                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
831
; SW[15]     ; AA22  ; 5        ; 115          ; 6            ; 14           ; 1                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
832
; SW[16]     ; Y24   ; 5        ; 115          ; 13           ; 0            ; 1                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
833
; SW[17]     ; Y23   ; 5        ; 115          ; 14           ; 7            ; 220                   ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
834
; SW[1]      ; AC28  ; 5        ; 115          ; 14           ; 0            ; 1                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
835
; SW[2]      ; AC27  ; 5        ; 115          ; 15           ; 7            ; 1                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
836
; SW[3]      ; AD27  ; 5        ; 115          ; 13           ; 7            ; 1                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
837
; SW[4]      ; AB27  ; 5        ; 115          ; 18           ; 7            ; 1                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
838
; SW[5]      ; AC26  ; 5        ; 115          ; 11           ; 7            ; 1                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
839
; SW[6]      ; AD26  ; 5        ; 115          ; 10           ; 0            ; 1                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
840
; SW[7]      ; AB26  ; 5        ; 115          ; 15           ; 0            ; 1                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
841
; SW[8]      ; AC25  ; 5        ; 115          ; 4            ; 21           ; 1                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
842
; SW[9]      ; AB25  ; 5        ; 115          ; 16           ; 7            ; 1                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
843
; UART_CTS   ; J13   ; 8        ; 40           ; 73           ; 0            ; 0                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
844
; UART_RTS   ; G14   ; 8        ; 47           ; 73           ; 14           ; 0                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
845
; UART_RXD   ; G12   ; 8        ; 27           ; 73           ; 7            ; 0                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
846
+------------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+
847
 
848
 
849
+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
850
; Output Pins                                                                                                                                                                                                                                                                                                                                                                                                                                                    ;
851
+---------------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+
852
; Name          ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Output Register ; Output Enable Register ; Power Up High ; Slew Rate ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Termination                       ; Termination Control Block ; Output Buffer Pre-emphasis ; Voltage Output Differential ; Location assigned by ; Output Enable Source ; Output Enable Group ;
853
+---------------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+
854
; AUD_DACDAT    ; D1    ; 1        ; 0            ; 68           ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
855
; AUD_XCK       ; E1    ; 1        ; 0            ; 61           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
856
; DRAM_ADDR[0]  ; R6    ; 2        ; 0            ; 34           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
857
; DRAM_ADDR[10] ; R5    ; 2        ; 0            ; 32           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
858
; DRAM_ADDR[11] ; AA5   ; 2        ; 0            ; 10           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
859
; DRAM_ADDR[12] ; Y7    ; 2        ; 0            ; 11           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
860
; DRAM_ADDR[1]  ; V8    ; 2        ; 0            ; 15           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
861
; DRAM_ADDR[2]  ; U8    ; 2        ; 0            ; 18           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
862
; DRAM_ADDR[3]  ; P1    ; 1        ; 0            ; 42           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
863
; DRAM_ADDR[4]  ; V5    ; 2        ; 0            ; 15           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
864
; DRAM_ADDR[5]  ; W8    ; 2        ; 0            ; 11           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
865
; DRAM_ADDR[6]  ; W7    ; 2        ; 0            ; 12           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
866
; DRAM_ADDR[7]  ; AA7   ; 2        ; 0            ; 9            ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
867
; DRAM_ADDR[8]  ; Y5    ; 2        ; 0            ; 12           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
868
; DRAM_ADDR[9]  ; Y6    ; 2        ; 0            ; 13           ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
869
; DRAM_BA_0     ; U7    ; 2        ; 0            ; 18           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
870
; DRAM_BA_1     ; R4    ; 2        ; 0            ; 33           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
871
; DRAM_CAS_N    ; V7    ; 2        ; 0            ; 14           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
872
; DRAM_CKE      ; AA6   ; 2        ; 0            ; 10           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
873
; DRAM_CLK      ; AE5   ; 3        ; 5            ; 0            ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
874
; DRAM_CS_N     ; T4    ; 2        ; 0            ; 33           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
875
; DRAM_DQM_0    ; U2    ; 2        ; 0            ; 30           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
876
; DRAM_DQM_1    ; W4    ; 2        ; 0            ; 14           ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
877
; DRAM_DQM_2    ; K8    ; 1        ; 0            ; 48           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
878
; DRAM_DQM_3    ; N8    ; 1        ; 0            ; 42           ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
879
; DRAM_RAS_N    ; U6    ; 2        ; 0            ; 25           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
880
; DRAM_WE_N     ; V6    ; 2        ; 0            ; 16           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
881
; FL_ADDR[0]    ; AG12  ; 3        ; 54           ; 0            ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
882
; FL_ADDR[10]   ; AE9   ; 3        ; 27           ; 0            ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
883
; FL_ADDR[11]   ; AF9   ; 3        ; 20           ; 0            ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
884
; FL_ADDR[12]   ; AA10  ; 3        ; 18           ; 0            ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
885
; FL_ADDR[13]   ; AD8   ; 3        ; 9            ; 0            ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
886
; FL_ADDR[14]   ; AC8   ; 3        ; 18           ; 0            ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
887
; FL_ADDR[15]   ; Y10   ; 3        ; 7            ; 0            ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
888
; FL_ADDR[16]   ; AA8   ; 3        ; 18           ; 0            ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
889
; FL_ADDR[17]   ; AH12  ; 3        ; 54           ; 0            ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
890
; FL_ADDR[18]   ; AC12  ; 3        ; 45           ; 0            ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
891
; FL_ADDR[19]   ; AD12  ; 3        ; 47           ; 0            ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
892
; FL_ADDR[1]    ; AH7   ; 3        ; 16           ; 0            ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
893
; FL_ADDR[20]   ; AE10  ; 3        ; 29           ; 0            ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
894
; FL_ADDR[21]   ; AD10  ; 3        ; 13           ; 0            ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
895
; FL_ADDR[22]   ; AD11  ; 3        ; 49           ; 0            ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
896
; FL_ADDR[2]    ; Y13   ; 3        ; 52           ; 0            ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
897
; FL_ADDR[3]    ; Y14   ; 3        ; 56           ; 0            ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
898
; FL_ADDR[4]    ; Y12   ; 3        ; 52           ; 0            ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
899
; FL_ADDR[5]    ; AA13  ; 3        ; 52           ; 0            ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
900
; FL_ADDR[6]    ; AA12  ; 3        ; 52           ; 0            ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
901
; FL_ADDR[7]    ; AB13  ; 3        ; 47           ; 0            ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
902
; FL_ADDR[8]    ; AB12  ; 3        ; 45           ; 0            ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
903
; FL_ADDR[9]    ; AB10  ; 3        ; 38           ; 0            ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
904
; FL_CE_N       ; AG7   ; 3        ; 16           ; 0            ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
905
; FL_OE_N       ; AG8   ; 3        ; 18           ; 0            ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
906
; FL_RST_N      ; AE11  ; 3        ; 35           ; 0            ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
907
; FL_WE_N       ; AC10  ; 3        ; 38           ; 0            ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
908
; FL_WP_N       ; AE12  ; 3        ; 33           ; 0            ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
909
; HEX0[0]       ; G18   ; 7        ; 69           ; 73           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
910
; HEX0[1]       ; F22   ; 7        ; 107          ; 73           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
911
; HEX0[2]       ; E17   ; 7        ; 67           ; 73           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
912
; HEX0[3]       ; L26   ; 6        ; 115          ; 50           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
913
; HEX0[4]       ; L25   ; 6        ; 115          ; 54           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
914
; HEX0[5]       ; J22   ; 6        ; 115          ; 67           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
915
; HEX0[6]       ; H22   ; 6        ; 115          ; 69           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
916
; HEX1[0]       ; M24   ; 6        ; 115          ; 41           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
917
; HEX1[1]       ; Y22   ; 5        ; 115          ; 30           ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
918
; HEX1[2]       ; W21   ; 5        ; 115          ; 25           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
919
; HEX1[3]       ; W22   ; 5        ; 115          ; 30           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
920
; HEX1[4]       ; W25   ; 5        ; 115          ; 20           ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
921
; HEX1[5]       ; U23   ; 5        ; 115          ; 22           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
922
; HEX1[6]       ; U24   ; 5        ; 115          ; 28           ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
923
; HEX2[0]       ; AA25  ; 5        ; 115          ; 17           ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
924
; HEX2[1]       ; AA26  ; 5        ; 115          ; 16           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
925
; HEX2[2]       ; Y25   ; 5        ; 115          ; 19           ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
926
; HEX2[3]       ; W26   ; 5        ; 115          ; 19           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
927
; HEX2[4]       ; Y26   ; 5        ; 115          ; 18           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
928
; HEX2[5]       ; W27   ; 5        ; 115          ; 20           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
929
; HEX2[6]       ; W28   ; 5        ; 115          ; 21           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
930
; HEX3[0]       ; V21   ; 5        ; 115          ; 25           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
931
; HEX3[1]       ; U21   ; 5        ; 115          ; 29           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
932
; HEX3[2]       ; AB20  ; 4        ; 100          ; 0            ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
933
; HEX3[3]       ; AA21  ; 4        ; 111          ; 0            ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
934
; HEX3[4]       ; AD24  ; 4        ; 105          ; 0            ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
935
; HEX3[5]       ; AF23  ; 4        ; 105          ; 0            ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
936
; HEX3[6]       ; Y19   ; 4        ; 105          ; 0            ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
937
; HEX4[0]       ; AB19  ; 4        ; 98           ; 0            ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
938
; HEX4[1]       ; AA19  ; 4        ; 107          ; 0            ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
939
; HEX4[2]       ; AG21  ; 4        ; 74           ; 0            ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
940
; HEX4[3]       ; AH21  ; 4        ; 74           ; 0            ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
941
; HEX4[4]       ; AE19  ; 4        ; 83           ; 0            ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
942
; HEX4[5]       ; AF19  ; 4        ; 83           ; 0            ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
943
; HEX4[6]       ; AE18  ; 4        ; 79           ; 0            ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
944
; HEX5[0]       ; AD18  ; 4        ; 85           ; 0            ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
945
; HEX5[1]       ; AC18  ; 4        ; 87           ; 0            ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
946
; HEX5[2]       ; AB18  ; 4        ; 98           ; 0            ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
947
; HEX5[3]       ; AH19  ; 4        ; 72           ; 0            ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
948
; HEX5[4]       ; AG19  ; 4        ; 72           ; 0            ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
949
; HEX5[5]       ; AF18  ; 4        ; 79           ; 0            ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
950
; HEX5[6]       ; AH18  ; 4        ; 69           ; 0            ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
951
; HEX6[0]       ; AA17  ; 4        ; 89           ; 0            ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
952
; HEX6[1]       ; AB16  ; 4        ; 65           ; 0            ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
953
; HEX6[2]       ; AA16  ; 4        ; 65           ; 0            ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
954
; HEX6[3]       ; AB17  ; 4        ; 89           ; 0            ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
955
; HEX6[4]       ; AB15  ; 4        ; 67           ; 0            ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
956
; HEX6[5]       ; AA15  ; 4        ; 67           ; 0            ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
957
; HEX6[6]       ; AC17  ; 4        ; 74           ; 0            ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
958
; HEX7[0]       ; AD17  ; 4        ; 74           ; 0            ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
959
; HEX7[1]       ; AE17  ; 4        ; 67           ; 0            ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
960
; HEX7[2]       ; AG17  ; 4        ; 62           ; 0            ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
961
; HEX7[3]       ; AH17  ; 4        ; 62           ; 0            ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
962
; HEX7[4]       ; AF17  ; 4        ; 67           ; 0            ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
963
; HEX7[5]       ; AG18  ; 4        ; 69           ; 0            ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
964
; HEX7[6]       ; AA14  ; 3        ; 54           ; 0            ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
965
; LCD_BLON      ; L6    ; 1        ; 0            ; 47           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
966
; LCD_EN        ; L4    ; 1        ; 0            ; 52           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
967
; LCD_ON        ; L5    ; 1        ; 0            ; 58           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
968
; LCD_RS        ; M2    ; 1        ; 0            ; 44           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
969
; LCD_RW        ; M1    ; 1        ; 0            ; 44           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
970
; LEDG[0]       ; E21   ; 7        ; 107          ; 73           ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
971
; LEDG[1]       ; E22   ; 7        ; 111          ; 73           ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
972
; LEDG[2]       ; E25   ; 7        ; 83           ; 73           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
973
; LEDG[3]       ; E24   ; 7        ; 85           ; 73           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
974
; LEDG[4]       ; H21   ; 7        ; 72           ; 73           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
975
; LEDG[5]       ; G20   ; 7        ; 74           ; 73           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
976
; LEDG[6]       ; G22   ; 7        ; 72           ; 73           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
977
; LEDG[7]       ; G21   ; 7        ; 74           ; 73           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
978
; LEDG[8]       ; F17   ; 7        ; 67           ; 73           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
979
; LEDR[0]       ; G19   ; 7        ; 69           ; 73           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
980
; LEDR[10]      ; J15   ; 7        ; 60           ; 73           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
981
; LEDR[11]      ; H16   ; 7        ; 65           ; 73           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
982
; LEDR[12]      ; J16   ; 7        ; 65           ; 73           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
983
; LEDR[13]      ; H17   ; 7        ; 67           ; 73           ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
984
; LEDR[14]      ; F15   ; 7        ; 58           ; 73           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
985
; LEDR[15]      ; G15   ; 7        ; 65           ; 73           ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
986
; LEDR[16]      ; G16   ; 7        ; 67           ; 73           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
987
; LEDR[17]      ; H15   ; 7        ; 60           ; 73           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
988
; LEDR[1]       ; F19   ; 7        ; 94           ; 73           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
989
; LEDR[2]       ; E19   ; 7        ; 94           ; 73           ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
990
; LEDR[3]       ; F21   ; 7        ; 107          ; 73           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
991
; LEDR[4]       ; F18   ; 7        ; 87           ; 73           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
992
; LEDR[5]       ; E18   ; 7        ; 87           ; 73           ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
993
; LEDR[6]       ; J19   ; 7        ; 72           ; 73           ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
994
; LEDR[7]       ; H19   ; 7        ; 72           ; 73           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
995
; LEDR[8]       ; J17   ; 7        ; 69           ; 73           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
996
; LEDR[9]       ; G17   ; 7        ; 83           ; 73           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
997
; SD_CLK        ; AE13  ; 3        ; 42           ; 0            ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
998
; SD_CMD        ; AD14  ; 3        ; 56           ; 0            ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
999
; SD_DAT3       ; AC14  ; 3        ; 56           ; 0            ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
1000
; SRAM_ADDR[0]  ; AB7   ; 3        ; 16           ; 0            ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
1001
; SRAM_ADDR[10] ; AF2   ; 2        ; 0            ; 6            ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
1002
; SRAM_ADDR[11] ; AD3   ; 2        ; 0            ; 22           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
1003
; SRAM_ADDR[12] ; AB4   ; 2        ; 0            ; 8            ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
1004
; SRAM_ADDR[13] ; AC3   ; 2        ; 0            ; 23           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
1005
; SRAM_ADDR[14] ; AA4   ; 2        ; 0            ; 19           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
1006
; SRAM_ADDR[15] ; AB11  ; 3        ; 27           ; 0            ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
1007
; SRAM_ADDR[16] ; AC11  ; 3        ; 49           ; 0            ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
1008
; SRAM_ADDR[17] ; AB9   ; 3        ; 11           ; 0            ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
1009
; SRAM_ADDR[18] ; AB8   ; 3        ; 11           ; 0            ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
1010
; SRAM_ADDR[19] ; T8    ; 2        ; 0            ; 20           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
1011
; SRAM_ADDR[1]  ; AD7   ; 3        ; 3            ; 0            ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
1012
; SRAM_ADDR[2]  ; AE7   ; 3        ; 20           ; 0            ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
1013
; SRAM_ADDR[3]  ; AC7   ; 3        ; 9            ; 0            ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
1014
; SRAM_ADDR[4]  ; AB6   ; 2        ; 0            ; 4            ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
1015
; SRAM_ADDR[5]  ; AE6   ; 3        ; 1            ; 0            ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
1016
; SRAM_ADDR[6]  ; AB5   ; 2        ; 0            ; 4            ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
1017
; SRAM_ADDR[7]  ; AC5   ; 2        ; 0            ; 5            ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
1018
; SRAM_ADDR[8]  ; AF5   ; 3        ; 5            ; 0            ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
1019
; SRAM_ADDR[9]  ; T7    ; 2        ; 0            ; 31           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
1020
; SRAM_CE_N     ; AF8   ; 3        ; 23           ; 0            ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
1021
; SRAM_LB_N     ; AD4   ; 3        ; 1            ; 0            ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
1022
; SRAM_OE_N     ; AD5   ; 3        ; 1            ; 0            ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
1023
; SRAM_UB_N     ; AC4   ; 2        ; 0            ; 4            ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
1024
; SRAM_WE_N     ; AE8   ; 3        ; 23           ; 0            ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
1025
; UART_TXD      ; G9    ; 8        ; 13           ; 73           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; yes        ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
1026
; VGA_BLANK_N   ; F11   ; 8        ; 31           ; 73           ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
1027
; VGA_B[0]      ; B10   ; 8        ; 38           ; 73           ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
1028
; VGA_B[1]      ; A10   ; 8        ; 38           ; 73           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
1029
; VGA_B[2]      ; C11   ; 8        ; 23           ; 73           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
1030
; VGA_B[3]      ; B11   ; 8        ; 42           ; 73           ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
1031
; VGA_B[4]      ; A11   ; 8        ; 42           ; 73           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
1032
; VGA_B[5]      ; C12   ; 8        ; 52           ; 73           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
1033
; VGA_B[6]      ; D11   ; 8        ; 23           ; 73           ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
1034
; VGA_B[7]      ; D12   ; 8        ; 52           ; 73           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
1035
; VGA_CLK       ; A12   ; 8        ; 47           ; 73           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
1036
; VGA_G[0]      ; G8    ; 8        ; 11           ; 73           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
1037
; VGA_G[1]      ; G11   ; 8        ; 25           ; 73           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
1038
; VGA_G[2]      ; F8    ; 8        ; 11           ; 73           ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
1039
; VGA_G[3]      ; H12   ; 8        ; 25           ; 73           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
1040
; VGA_G[4]      ; C8    ; 8        ; 16           ; 73           ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
1041
; VGA_G[5]      ; B8    ; 8        ; 16           ; 73           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
1042
; VGA_G[6]      ; F10   ; 8        ; 20           ; 73           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
1043
; VGA_G[7]      ; C9    ; 8        ; 23           ; 73           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
1044
; VGA_HS        ; G13   ; 8        ; 38           ; 73           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
1045
; VGA_R[0]      ; E12   ; 8        ; 33           ; 73           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
1046
; VGA_R[1]      ; E11   ; 8        ; 31           ; 73           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
1047
; VGA_R[2]      ; D10   ; 8        ; 35           ; 73           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
1048
; VGA_R[3]      ; F12   ; 8        ; 33           ; 73           ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
1049
; VGA_R[4]      ; G10   ; 8        ; 20           ; 73           ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
1050
; VGA_R[5]      ; J12   ; 8        ; 40           ; 73           ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
1051
; VGA_R[6]      ; H8    ; 8        ; 11           ; 73           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
1052
; VGA_R[7]      ; H10   ; 8        ; 20           ; 73           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
1053
; VGA_SYNC_N    ; C10   ; 8        ; 35           ; 73           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
1054
; VGA_VS        ; C13   ; 8        ; 54           ; 73           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                               ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
1055
+---------------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+
1056
 
1057
 
1058
+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
1059
; Bidir Pins                                                                                                                                                                                                                                                                                                                                                                                                                                       ;
1060
+-------------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+-----------------+------------------------+---------------+-----------+-----------------+------------+----------+--------------+--------------+------------------+--------------------+---------------------------+----------------------+------+----------------------+---------------------+
1061
; Name        ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Output Register ; Output Enable Register ; Power Up High ; Slew Rate ; PCI I/O Enabled ; Open Drain ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Output Termination ; Termination Control Block ; Location assigned by ; Load ; Output Enable Source ; Output Enable Group ;
1062
+-------------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+-----------------+------------------------+---------------+-----------+-----------------+------------+----------+--------------+--------------+------------------+--------------------+---------------------------+----------------------+------+----------------------+---------------------+
1063
; AUD_ADCLRCK ; C2    ; 1        ; 0            ; 69           ; 7            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
1064
; AUD_BCLK    ; F2    ; 1        ; 0            ; 60           ; 14           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
1065
; AUD_DACLRCK ; E3    ; 1        ; 0            ; 66           ; 14           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
1066
; DRAM_DQ[0]  ; W3    ; 2        ; 0            ; 13           ; 0            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
1067
; DRAM_DQ[10] ; AB1   ; 2        ; 0            ; 27           ; 21           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
1068
; DRAM_DQ[11] ; AA3   ; 2        ; 0            ; 19           ; 7            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
1069
; DRAM_DQ[12] ; AB2   ; 2        ; 0            ; 27           ; 14           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
1070
; DRAM_DQ[13] ; AC1   ; 2        ; 0            ; 23           ; 14           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
1071
; DRAM_DQ[14] ; AB3   ; 2        ; 0            ; 21           ; 21           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
1072
; DRAM_DQ[15] ; AC2   ; 2        ; 0            ; 24           ; 21           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
1073
; DRAM_DQ[16] ; M8    ; 1        ; 0            ; 45           ; 14           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
1074
; DRAM_DQ[17] ; L8    ; 1        ; 0            ; 48           ; 7            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
1075
; DRAM_DQ[18] ; P2    ; 1        ; 0            ; 43           ; 14           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
1076
; DRAM_DQ[19] ; N3    ; 1        ; 0            ; 46           ; 21           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
1077
; DRAM_DQ[1]  ; W2    ; 2        ; 0            ; 26           ; 14           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
1078
; DRAM_DQ[20] ; N4    ; 1        ; 0            ; 46           ; 14           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
1079
; DRAM_DQ[21] ; M4    ; 1        ; 0            ; 52           ; 21           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
1080
; DRAM_DQ[22] ; M7    ; 1        ; 0            ; 45           ; 21           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
1081
; DRAM_DQ[23] ; L7    ; 1        ; 0            ; 47           ; 14           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
1082
; DRAM_DQ[24] ; U5    ; 2        ; 0            ; 24           ; 0            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
1083
; DRAM_DQ[25] ; R7    ; 2        ; 0            ; 35           ; 14           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
1084
; DRAM_DQ[26] ; R1    ; 2        ; 0            ; 35           ; 7            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
1085
; DRAM_DQ[27] ; R2    ; 2        ; 0            ; 35           ; 0            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
1086
; DRAM_DQ[28] ; R3    ; 2        ; 0            ; 34           ; 21           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
1087
; DRAM_DQ[29] ; T3    ; 2        ; 0            ; 32           ; 14           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
1088
; DRAM_DQ[2]  ; V4    ; 2        ; 0            ; 29           ; 14           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
1089
; DRAM_DQ[30] ; U4    ; 2        ; 0            ; 34           ; 14           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
1090
; DRAM_DQ[31] ; U1    ; 2        ; 0            ; 30           ; 7            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
1091
; DRAM_DQ[3]  ; W1    ; 2        ; 0            ; 25           ; 14           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
1092
; DRAM_DQ[4]  ; V3    ; 2        ; 0            ; 29           ; 21           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
1093
; DRAM_DQ[5]  ; V2    ; 2        ; 0            ; 28           ; 14           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
1094
; DRAM_DQ[6]  ; V1    ; 2        ; 0            ; 28           ; 21           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
1095
; DRAM_DQ[7]  ; U3    ; 2        ; 0            ; 34           ; 7            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
1096
; DRAM_DQ[8]  ; Y3    ; 2        ; 0            ; 24           ; 14           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
1097
; DRAM_DQ[9]  ; Y4    ; 2        ; 0            ; 24           ; 7            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
1098
; FL_DQ[0]    ; AH8   ; 3        ; 20           ; 0            ; 21           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
1099
; FL_DQ[1]    ; AF10  ; 3        ; 29           ; 0            ; 14           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
1100
; FL_DQ[2]    ; AG10  ; 3        ; 31           ; 0            ; 7            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
1101
; FL_DQ[3]    ; AH10  ; 3        ; 31           ; 0            ; 0            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
1102
; FL_DQ[4]    ; AF11  ; 3        ; 35           ; 0            ; 14           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
1103
; FL_DQ[5]    ; AG11  ; 3        ; 40           ; 0            ; 21           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
1104
; FL_DQ[6]    ; AH11  ; 3        ; 40           ; 0            ; 14           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
1105
; FL_DQ[7]    ; AF12  ; 3        ; 33           ; 0            ; 0            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
1106
; LCD_DATA[0] ; L3    ; 1        ; 0            ; 52           ; 14           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
1107
; LCD_DATA[1] ; L1    ; 1        ; 0            ; 44           ; 7            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
1108
; LCD_DATA[2] ; L2    ; 1        ; 0            ; 44           ; 0            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
1109
; LCD_DATA[3] ; K7    ; 1        ; 0            ; 49           ; 7            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
1110
; LCD_DATA[4] ; K1    ; 1        ; 0            ; 54           ; 7            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
1111
; LCD_DATA[5] ; K2    ; 1        ; 0            ; 55           ; 21           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
1112
; LCD_DATA[6] ; M3    ; 1        ; 0            ; 51           ; 14           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
1113
; LCD_DATA[7] ; M5    ; 1        ; 0            ; 47           ; 0            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
1114
; PS2_CLK     ; G6    ; 1        ; 0            ; 67           ; 14           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
1115
; PS2_CLK2    ; G5    ; 1        ; 0            ; 67           ; 21           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
1116
; PS2_DAT     ; H5    ; 1        ; 0            ; 59           ; 21           ; 3                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
1117
; PS2_DAT2    ; F5    ; 1        ; 0            ; 65           ; 14           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
1118
; SD_DAT1     ; AF13  ; 3        ; 42           ; 0            ; 14           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
1119
; SD_DAT2     ; AB14  ; 3        ; 54           ; 0            ; 14           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
1120
; SRAM_DQ[0]  ; AH3   ; 3        ; 5            ; 0            ; 7            ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; SRAM_DQ~8 (inverted) ; -                   ;
1121
; SRAM_DQ[10] ; AE2   ; 2        ; 0            ; 17           ; 14           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
1122
; SRAM_DQ[11] ; AE1   ; 2        ; 0            ; 16           ; 14           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
1123
; SRAM_DQ[12] ; AE3   ; 2        ; 0            ; 7            ; 7            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
1124
; SRAM_DQ[13] ; AE4   ; 3        ; 3            ; 0            ; 21           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
1125
; SRAM_DQ[14] ; AF3   ; 3        ; 7            ; 0            ; 21           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
1126
; SRAM_DQ[15] ; AG3   ; 3        ; 3            ; 0            ; 14           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
1127
; SRAM_DQ[1]  ; AF4   ; 3        ; 1            ; 0            ; 0            ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; SRAM_DQ~8 (inverted) ; -                   ;
1128
; SRAM_DQ[2]  ; AG4   ; 3        ; 9            ; 0            ; 21           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; SRAM_DQ~8 (inverted) ; -                   ;
1129
; SRAM_DQ[3]  ; AH4   ; 3        ; 9            ; 0            ; 14           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; SRAM_DQ~8 (inverted) ; -                   ;
1130
; SRAM_DQ[4]  ; AF6   ; 3        ; 7            ; 0            ; 14           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; SRAM_DQ~8 (inverted) ; -                   ;
1131
; SRAM_DQ[5]  ; AG6   ; 3        ; 11           ; 0            ; 21           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; SRAM_DQ~8 (inverted) ; -                   ;
1132
; SRAM_DQ[6]  ; AH6   ; 3        ; 11           ; 0            ; 14           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; SRAM_DQ~8 (inverted) ; -                   ;
1133
; SRAM_DQ[7]  ; AF7   ; 3        ; 20           ; 0            ; 7            ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; SRAM_DQ~8 (inverted) ; -                   ;
1134
; SRAM_DQ[8]  ; AD1   ; 2        ; 0            ; 21           ; 14           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
1135
; SRAM_DQ[9]  ; AD2   ; 2        ; 0            ; 22           ; 21           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                    ; -                   ;
1136
+-------------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+-----------------+------------------------+---------------+-----------+-----------------+------------+----------+--------------+--------------+------------------+--------------------+---------------------------+----------------------+------+----------------------+---------------------+
1137
 
1138
 
1139
+--------------------------------------------------------------------------------------------------------------------------------------+
1140
; Dual Purpose and Dedicated Pins                                                                                                      ;
1141
+----------+------------------------------------------+--------------------------+-------------------------+---------------------------+
1142
; Location ; Pin Name                                 ; Reserved As              ; User Signal Name        ; Pin Type                  ;
1143
+----------+------------------------------------------+--------------------------+-------------------------+---------------------------+
1144
; G6       ; DIFFIO_L3p, nRESET                       ; Use as regular IO        ; PS2_CLK                 ; Dual Purpose Pin          ;
1145
; F4       ; DIFFIO_L5n, DATA1, ASDO                  ; As input tri-stated      ; ~ALTERA_ASDO_DATA1~     ; Dual Purpose Pin          ;
1146
; E2       ; DIFFIO_L8p, FLASH_nCE, nCSO              ; As input tri-stated      ; ~ALTERA_FLASH_nCE_nCSO~ ; Dual Purpose Pin          ;
1147
; M6       ; nSTATUS                                  ; -                        ; -                       ; Dedicated Programming Pin ;
1148
; P3       ; DCLK                                     ; As output driving ground ; ~ALTERA_DCLK~           ; Dual Purpose Pin          ;
1149
; N7       ; DATA0                                    ; As input tri-stated      ; ~ALTERA_DATA0~          ; Dual Purpose Pin          ;
1150
; P4       ; nCONFIG                                  ; -                        ; -                       ; Dedicated Programming Pin ;
1151
; R8       ; nCE                                      ; -                        ; -                       ; Dedicated Programming Pin ;
1152
; P24      ; CONF_DONE                                ; -                        ; -                       ; Dedicated Programming Pin ;
1153
; N22      ; MSEL0                                    ; -                        ; -                       ; Dedicated Programming Pin ;
1154
; P23      ; MSEL1                                    ; -                        ; -                       ; Dedicated Programming Pin ;
1155
; M22      ; MSEL2                                    ; -                        ; -                       ; Dedicated Programming Pin ;
1156
; P22      ; MSEL3                                    ; -                        ; -                       ; Dedicated Programming Pin ;
1157
; P28      ; DIFFIO_R23n, nCEO                        ; Use as programming pin   ; ~ALTERA_nCEO~           ; Dual Purpose Pin          ;
1158
; C12      ; DIFFIO_T29n, PADD16                      ; Use as regular IO        ; VGA_B[5]                ; Dual Purpose Pin          ;
1159
; D12      ; DIFFIO_T29p, PADD17, DQS5T/CQ5T#,DPCLK10 ; Use as regular IO        ; VGA_B[7]                ; Dual Purpose Pin          ;
1160
; A11      ; DIFFIO_T25n, DATA2                       ; Use as regular IO        ; VGA_B[4]                ; Dual Purpose Pin          ;
1161
; B11      ; DIFFIO_T25p, DATA3                       ; Use as regular IO        ; VGA_B[3]                ; Dual Purpose Pin          ;
1162
; A10      ; DIFFIO_T23n, PADD18                      ; Use as regular IO        ; VGA_B[1]                ; Dual Purpose Pin          ;
1163
; B10      ; DIFFIO_T23p, DATA4                       ; Use as regular IO        ; VGA_B[0]                ; Dual Purpose Pin          ;
1164
; G13      ; DIFFIO_T22n, PADD19                      ; Use as regular IO        ; VGA_HS                  ; Dual Purpose Pin          ;
1165
; E12      ; DIFFIO_T20n, DATA14, DQS3T/CQ3T#,DPCLK11 ; Use as regular IO        ; VGA_R[0]                ; Dual Purpose Pin          ;
1166
; F12      ; DIFFIO_T20p, DATA13                      ; Use as regular IO        ; VGA_R[3]                ; Dual Purpose Pin          ;
1167
; C11      ; DIFFIO_T15n, DATA7                       ; Use as regular IO        ; VGA_B[2]                ; Dual Purpose Pin          ;
1168
+----------+------------------------------------------+--------------------------+-------------------------+---------------------------+
1169
 
1170
 
1171
+-------------------------------------------------------------+
1172
; I/O Bank Usage                                              ;
1173
+----------+-------------------+---------------+--------------+
1174
; I/O Bank ; Usage             ; VCCIO Voltage ; VREF Voltage ;
1175
+----------+-------------------+---------------+--------------+
1176
; 1        ; 38 / 56 ( 68 % )  ; 3.3V          ; --           ;
1177
; 2        ; 63 / 63 ( 100 % ) ; 3.3V          ; --           ;
1178
; 3        ; 69 / 73 ( 95 % )  ; 3.3V          ; --           ;
1179
; 4        ; 32 / 71 ( 45 % )  ; 3.3V          ; --           ;
1180
; 5        ; 34 / 65 ( 52 % )  ; 3.3V          ; --           ;
1181
; 6        ; 9 / 58 ( 16 % )   ; 3.3V          ; --           ;
1182
; 7        ; 30 / 72 ( 42 % )  ; 2.5V          ; --           ;
1183
; 8        ; 33 / 71 ( 46 % )  ; 3.3V          ; --           ;
1184
+----------+-------------------+---------------+--------------+
1185
 
1186
 
1187
+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
1188
; All Package Pins                                                                                                                                                                        ;
1189
+----------+------------+----------+-----------------------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+
1190
; Location ; Pad Number ; I/O Bank ; Pin Name/Usage                                            ; Dir.   ; I/O Standard ; Voltage ; I/O Type   ; User Assignment ; Bus Hold ; Weak Pull Up ;
1191
+----------+------------+----------+-----------------------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+
1192
; A2       ;            ; 8        ; VCCIO8                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
1193
; A3       ; 535        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1194
; A4       ; 532        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1195
; A5       ;            ; 8        ; VCCIO8                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
1196
; A6       ; 504        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1197
; A7       ; 501        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1198
; A8       ; 517        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1199
; A9       ;            ; 8        ; VCCIO8                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
1200
; A10      ; 491        ; 8        ; VGA_B[1]                                                  ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1201
; A11      ; 487        ; 8        ; VGA_B[4]                                                  ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1202
; A12      ; 482        ; 8        ; VGA_CLK                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1203
; A13      ;            ; 8        ; VCCIO8                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
1204
; A14      ; 472        ; 8        ; GND+                                                      ;        ;              ;         ; Column I/O ;                 ; --       ; --           ;
1205
; A15      ; 470        ; 7        ; GND+                                                      ;        ;              ;         ; Column I/O ;                 ; --       ; --           ;
1206
; A16      ;            ; 7        ; VCCIO7                                                    ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
1207
; A17      ; 462        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1208
; A18      ; 442        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1209
; A19      ; 440        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1210
; A20      ;            ; 7        ; VCCIO7                                                    ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
1211
; A21      ; 425        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1212
; A22      ; 423        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1213
; A23      ; 412        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1214
; A24      ;            ; 7        ; VCCIO7                                                    ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
1215
; A25      ; 405        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1216
; A26      ; 404        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1217
; A27      ;            ; 7        ; VCCIO7                                                    ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
1218
; AA1      ;            ; 2        ; VCCIO2                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
1219
; AA2      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1220
; AA3      ; 102        ; 2        ; DRAM_DQ[11]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1221
; AA4      ; 101        ; 2        ; SRAM_ADDR[14]                                             ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1222
; AA5      ; 119        ; 2        ; DRAM_ADDR[11]                                             ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1223
; AA6      ; 118        ; 2        ; DRAM_CKE                                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1224
; AA7      ; 120        ; 2        ; DRAM_ADDR[7]                                              ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1225
; AA8      ; 154        ; 3        ; FL_ADDR[16]                                               ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1226
; AA9      ;            ;          ; GNDA1                                                     ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1227
; AA10     ; 155        ; 3        ; FL_ADDR[12]                                               ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1228
; AA11     ;            ; 3        ; VCCIO3                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
1229
; AA12     ; 188        ; 3        ; FL_ADDR[6]                                                ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1230
; AA13     ; 190        ; 3        ; FL_ADDR[5]                                                ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1231
; AA14     ; 191        ; 3        ; HEX7[6]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1232
; AA15     ; 213        ; 4        ; HEX6[5]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1233
; AA16     ; 211        ; 4        ; HEX6[2]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1234
; AA17     ; 241        ; 4        ; HEX6[0]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1235
; AA18     ;            ; 4        ; VCCIO4                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
1236
; AA19     ; 264        ; 4        ; HEX4[1]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1237
; AA20     ;            ;          ; GNDA4                                                     ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1238
; AA21     ; 269        ; 4        ; HEX3[3]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1239
; AA22     ; 275        ; 5        ; SW[15]                                                    ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1240
; AA23     ; 280        ; 5        ; SW[14]                                                    ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1241
; AA24     ; 279        ; 5        ; SW[13]                                                    ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1242
; AA25     ; 294        ; 5        ; HEX2[0]                                                   ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1243
; AA26     ; 293        ; 5        ; HEX2[1]                                                   ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1244
; AA27     ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1245
; AA28     ;            ; 5        ; VCCIO5                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
1246
; AB1      ; 86         ; 2        ; DRAM_DQ[10]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1247
; AB2      ; 85         ; 2        ; DRAM_DQ[12]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1248
; AB3      ; 99         ; 2        ; DRAM_DQ[14]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1249
; AB4      ; 121        ; 2        ; SRAM_ADDR[12]                                             ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1250
; AB5      ; 127        ; 2        ; SRAM_ADDR[6]                                              ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1251
; AB6      ; 126        ; 2        ; SRAM_ADDR[4]                                              ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1252
; AB7      ; 152        ; 3        ; SRAM_ADDR[0]                                              ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1253
; AB8      ; 148        ; 3        ; SRAM_ADDR[18]                                             ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1254
; AB9      ; 147        ; 3        ; SRAM_ADDR[17]                                             ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1255
; AB10     ; 173        ; 3        ; FL_ADDR[9]                                                ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1256
; AB11     ; 164        ; 3        ; SRAM_ADDR[15]                                             ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1257
; AB12     ; 180        ; 3        ; FL_ADDR[8]                                                ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1258
; AB13     ; 181        ; 3        ; FL_ADDR[7]                                                ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1259
; AB14     ; 192        ; 3        ; SD_DAT2                                                   ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1260
; AB15     ; 214        ; 4        ; HEX6[4]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1261
; AB16     ; 212        ; 4        ; HEX6[1]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1262
; AB17     ; 242        ; 4        ; HEX6[3]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1263
; AB18     ; 254        ; 4        ; HEX5[2]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1264
; AB19     ; 253        ; 4        ; HEX4[0]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1265
; AB20     ; 257        ; 4        ; HEX3[2]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1266
; AB21     ; 266        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1267
; AB22     ; 265        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1268
; AB23     ; 276        ; 5        ; SW[12]                                                    ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1269
; AB24     ; 274        ; 5        ; SW[11]                                                    ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1270
; AB25     ; 292        ; 5        ; SW[9]                                                     ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1271
; AB26     ; 291        ; 5        ; SW[7]                                                     ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1272
; AB27     ; 296        ; 5        ; SW[4]                                                     ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1273
; AB28     ; 295        ; 5        ; SW[0]                                                     ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1274
; AC1      ; 94         ; 2        ; DRAM_DQ[13]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1275
; AC2      ; 93         ; 2        ; DRAM_DQ[15]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1276
; AC3      ; 95         ; 2        ; SRAM_ADDR[13]                                             ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1277
; AC4      ; 125        ; 2        ; SRAM_UB_N                                                 ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1278
; AC5      ; 124        ; 2        ; SRAM_ADDR[7]                                              ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1279
; AC6      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1280
; AC7      ; 144        ; 3        ; SRAM_ADDR[3]                                              ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1281
; AC8      ; 153        ; 3        ; FL_ADDR[14]                                               ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1282
; AC9      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1283
; AC10     ; 174        ; 3        ; FL_WE_N                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1284
; AC11     ; 185        ; 3        ; SRAM_ADDR[16]                                             ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1285
; AC12     ; 179        ; 3        ; FL_ADDR[18]                                               ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1286
; AC13     ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1287
; AC14     ; 195        ; 3        ; SD_DAT3                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1288
; AC15     ; 203        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1289
; AC16     ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1290
; AC17     ; 221        ; 4        ; HEX6[6]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1291
; AC18     ; 240        ; 4        ; HEX5[1]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1292
; AC19     ; 247        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1293
; AC20     ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1294
; AC21     ; 258        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1295
; AC22     ; 267        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1296
; AC23     ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1297
; AC24     ; 273        ; 5        ; SW[10]                                                    ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1298
; AC25     ; 272        ; 5        ; SW[8]                                                     ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1299
; AC26     ; 282        ; 5        ; SW[5]                                                     ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1300
; AC27     ; 290        ; 5        ; SW[2]                                                     ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1301
; AC28     ; 289        ; 5        ; SW[1]                                                     ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1302
; AD1      ; 98         ; 2        ; SRAM_DQ[8]                                                ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1303
; AD2      ; 97         ; 2        ; SRAM_DQ[9]                                                ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1304
; AD3      ; 96         ; 2        ; SRAM_ADDR[11]                                             ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1305
; AD4      ; 130        ; 3        ; SRAM_LB_N                                                 ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1306
; AD5      ; 128        ; 3        ; SRAM_OE_N                                                 ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1307
; AD6      ;            ; 3        ; VCCIO3                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
1308
; AD7      ; 134        ; 3        ; SRAM_ADDR[1]                                              ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1309
; AD8      ; 143        ; 3        ; FL_ADDR[13]                                               ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1310
; AD9      ;            ; 3        ; VCCIO3                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
1311
; AD10     ; 149        ; 3        ; FL_ADDR[21]                                               ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1312
; AD11     ; 186        ; 3        ; FL_ADDR[22]                                               ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1313
; AD12     ; 182        ; 3        ; FL_ADDR[19]                                               ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1314
; AD13     ;            ; 3        ; VCCIO3                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
1315
; AD14     ; 196        ; 3        ; SD_CMD                                                    ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1316
; AD15     ; 204        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1317
; AD16     ;            ; 4        ; VCCIO4                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
1318
; AD17     ; 222        ; 4        ; HEX7[0]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1319
; AD18     ; 237        ; 4        ; HEX5[0]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1320
; AD19     ; 248        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1321
; AD20     ;            ; 4        ; VCCIO4                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
1322
; AD21     ; 259        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1323
; AD22     ; 268        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1324
; AD23     ;            ; 4        ; VCCIO4                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
1325
; AD24     ; 260        ; 4        ; HEX3[4]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1326
; AD25     ; 255        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1327
; AD26     ; 281        ; 5        ; SW[6]                                                     ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1328
; AD27     ; 286        ; 5        ; SW[3]                                                     ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1329
; AD28     ; 285        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1330
; AE1      ; 106        ; 2        ; SRAM_DQ[11]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1331
; AE2      ; 105        ; 2        ; SRAM_DQ[10]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1332
; AE3      ; 122        ; 2        ; SRAM_DQ[12]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1333
; AE4      ; 132        ; 3        ; SRAM_DQ[13]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1334
; AE5      ; 135        ; 3        ; DRAM_CLK                                                  ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1335
; AE6      ; 129        ; 3        ; SRAM_ADDR[5]                                              ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1336
; AE7      ; 158        ; 3        ; SRAM_ADDR[2]                                              ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1337
; AE8      ; 161        ; 3        ; SRAM_WE_N                                                 ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1338
; AE9      ; 163        ; 3        ; FL_ADDR[10]                                               ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1339
; AE10     ; 165        ; 3        ; FL_ADDR[20]                                               ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1340
; AE11     ; 171        ; 3        ; FL_RST_N                                                  ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1341
; AE12     ; 169        ; 3        ; FL_WP_N                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1342
; AE13     ; 177        ; 3        ; SD_CLK                                                    ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1343
; AE14     ; 183        ; 3        ; SD_DAT0                                                   ; input  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1344
; AE15     ; 205        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1345
; AE16     ; 209        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1346
; AE17     ; 215        ; 4        ; HEX7[1]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1347
; AE18     ; 225        ; 4        ; HEX4[6]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1348
; AE19     ; 231        ; 4        ; HEX4[4]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1349
; AE20     ; 235        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1350
; AE21     ; 238        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1351
; AE22     ; 251        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1352
; AE23     ; 261        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1353
; AE24     ; 256        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1354
; AE25     ; 243        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1355
; AE26     ; 278        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1356
; AE27     ; 284        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1357
; AE28     ; 283        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1358
; AF1      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1359
; AF2      ; 123        ; 2        ; SRAM_ADDR[10]                                             ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1360
; AF3      ; 138        ; 3        ; SRAM_DQ[14]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1361
; AF4      ; 131        ; 3        ; SRAM_DQ[1]                                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1362
; AF5      ; 136        ; 3        ; SRAM_ADDR[8]                                              ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1363
; AF6      ; 139        ; 3        ; SRAM_DQ[4]                                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1364
; AF7      ; 159        ; 3        ; SRAM_DQ[7]                                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1365
; AF8      ; 162        ; 3        ; SRAM_CE_N                                                 ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1366
; AF9      ; 160        ; 3        ; FL_ADDR[11]                                               ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1367
; AF10     ; 166        ; 3        ; FL_DQ[1]                                                  ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1368
; AF11     ; 172        ; 3        ; FL_DQ[4]                                                  ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1369
; AF12     ; 170        ; 3        ; FL_DQ[7]                                                  ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1370
; AF13     ; 178        ; 3        ; SD_DAT1                                                   ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1371
; AF14     ; 184        ; 3        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1372
; AF15     ; 206        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1373
; AF16     ; 210        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1374
; AF17     ; 216        ; 4        ; HEX7[4]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1375
; AF18     ; 226        ; 4        ; HEX5[5]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1376
; AF19     ; 232        ; 4        ; HEX4[5]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1377
; AF20     ; 236        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1378
; AF21     ; 239        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1379
; AF22     ; 252        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1380
; AF23     ; 262        ; 4        ; HEX3[5]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1381
; AF24     ; 233        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1382
; AF25     ; 234        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1383
; AF26     ; 244        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1384
; AF27     ; 277        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1385
; AF28     ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1386
; AG1      ;            ; 2        ; VCCIO2                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
1387
; AG2      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1388
; AG3      ; 133        ; 3        ; SRAM_DQ[15]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1389
; AG4      ; 141        ; 3        ; SRAM_DQ[2]                                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1390
; AG5      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1391
; AG6      ; 145        ; 3        ; SRAM_DQ[5]                                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1392
; AG7      ; 150        ; 3        ; FL_CE_N                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1393
; AG8      ; 156        ; 3        ; FL_OE_N                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1394
; AG9      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1395
; AG10     ; 167        ; 3        ; FL_DQ[2]                                                  ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1396
; AG11     ; 175        ; 3        ; FL_DQ[5]                                                  ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1397
; AG12     ; 193        ; 3        ; FL_ADDR[0]                                                ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1398
; AG13     ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1399
; AG14     ; 199        ; 3        ; GND+                                                      ;        ;              ;         ; Column I/O ;                 ; --       ; --           ;
1400
; AG15     ; 201        ; 4        ; GND+                                                      ;        ;              ;         ; Column I/O ;                 ; --       ; --           ;
1401
; AG16     ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1402
; AG17     ; 207        ; 4        ; HEX7[2]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1403
; AG18     ; 217        ; 4        ; HEX7[5]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1404
; AG19     ; 219        ; 4        ; HEX5[4]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1405
; AG20     ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1406
; AG21     ; 223        ; 4        ; HEX4[2]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1407
; AG22     ; 227        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1408
; AG23     ; 229        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1409
; AG24     ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1410
; AG25     ; 245        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1411
; AG26     ; 270        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1412
; AG27     ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1413
; AG28     ;            ; 5        ; VCCIO5                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
1414
; AH2      ;            ; 3        ; VCCIO3                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
1415
; AH3      ; 137        ; 3        ; SRAM_DQ[0]                                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1416
; AH4      ; 142        ; 3        ; SRAM_DQ[3]                                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1417
; AH5      ;            ; 3        ; VCCIO3                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
1418
; AH6      ; 146        ; 3        ; SRAM_DQ[6]                                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1419
; AH7      ; 151        ; 3        ; FL_ADDR[1]                                                ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1420
; AH8      ; 157        ; 3        ; FL_DQ[0]                                                  ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1421
; AH9      ;            ; 3        ; VCCIO3                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
1422
; AH10     ; 168        ; 3        ; FL_DQ[3]                                                  ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1423
; AH11     ; 176        ; 3        ; FL_DQ[6]                                                  ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1424
; AH12     ; 194        ; 3        ; FL_ADDR[17]                                               ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1425
; AH13     ;            ; 3        ; VCCIO3                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
1426
; AH14     ; 200        ; 3        ; GND+                                                      ;        ;              ;         ; Column I/O ;                 ; --       ; --           ;
1427
; AH15     ; 202        ; 4        ; GND+                                                      ;        ;              ;         ; Column I/O ;                 ; --       ; --           ;
1428
; AH16     ;            ; 4        ; VCCIO4                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
1429
; AH17     ; 208        ; 4        ; HEX7[3]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1430
; AH18     ; 218        ; 4        ; HEX5[6]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1431
; AH19     ; 220        ; 4        ; HEX5[3]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1432
; AH20     ;            ; 4        ; VCCIO4                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
1433
; AH21     ; 224        ; 4        ; HEX4[3]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1434
; AH22     ; 228        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1435
; AH23     ; 230        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1436
; AH24     ;            ; 4        ; VCCIO4                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
1437
; AH25     ; 246        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1438
; AH26     ; 271        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1439
; AH27     ;            ; 4        ; VCCIO4                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
1440
; B1       ;            ; 1        ; VCCIO1                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
1441
; B2       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1442
; B3       ; 534        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1443
; B4       ; 533        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1444
; B5       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1445
; B6       ; 505        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1446
; B7       ; 502        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1447
; B8       ; 518        ; 8        ; VGA_G[5]                                                  ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1448
; B9       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1449
; B10      ; 492        ; 8        ; VGA_B[0]                                                  ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1450
; B11      ; 488        ; 8        ; VGA_B[3]                                                  ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1451
; B12      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1452
; B13      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1453
; B14      ; 473        ; 8        ; GND+                                                      ;        ;              ;         ; Column I/O ;                 ; --       ; --           ;
1454
; B15      ; 471        ; 7        ; GND+                                                      ;        ;              ;         ; Column I/O ;                 ; --       ; --           ;
1455
; B16      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1456
; B17      ; 463        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1457
; B18      ; 443        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1458
; B19      ; 441        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1459
; B20      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1460
; B21      ; 426        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1461
; B22      ; 424        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1462
; B23      ; 413        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1463
; B24      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1464
; B25      ; 406        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1465
; B26      ; 401        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1466
; B27      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1467
; B28      ;            ; 6        ; VCCIO6                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
1468
; C1       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1469
; C2       ; 1          ; 1        ; AUD_ADCLRCK                                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1470
; C3       ; 543        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1471
; C4       ; 539        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1472
; C5       ; 538        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1473
; C6       ; 536        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1474
; C7       ; 521        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1475
; C8       ; 519        ; 8        ; VGA_G[4]                                                  ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1476
; C9       ; 510        ; 8        ; VGA_G[7]                                                  ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1477
; C10      ; 495        ; 8        ; VGA_SYNC_N                                                ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1478
; C11      ; 508        ; 8        ; VGA_B[2]                                                  ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1479
; C12      ; 478        ; 8        ; VGA_B[5]                                                  ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1480
; C13      ; 474        ; 8        ; VGA_VS                                                    ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1481
; C14      ; 476        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1482
; C15      ; 468        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1483
; C16      ; 460        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1484
; C17      ; 438        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1485
; C18      ; 429        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1486
; C19      ; 435        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1487
; C20      ; 431        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1488
; C21      ; 422        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1489
; C22      ; 418        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1490
; C23      ; 415        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1491
; C24      ; 416        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1492
; C25      ; 411        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1493
; C26      ; 400        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1494
; C27      ; 382        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1495
; C28      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1496
; D1       ; 3          ; 1        ; AUD_DACDAT                                                ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1497
; D2       ; 2          ; 1        ; AUD_ADCDAT                                                ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1498
; D3       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1499
; D4       ; 540        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1500
; D5       ; 537        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1501
; D6       ; 524        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1502
; D7       ; 522        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1503
; D8       ; 520        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1504
; D9       ; 511        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1505
; D10      ; 496        ; 8        ; VGA_R[2]                                                  ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1506
; D11      ; 509        ; 8        ; VGA_B[6]                                                  ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1507
; D12      ; 479        ; 8        ; VGA_B[7]                                                  ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1508
; D13      ; 475        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1509
; D14      ; 477        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1510
; D15      ; 469        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1511
; D16      ; 461        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1512
; D17      ; 439        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1513
; D18      ; 430        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1514
; D19      ; 436        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1515
; D20      ; 432        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1516
; D21      ; 419        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1517
; D22      ; 402        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1518
; D23      ; 414        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1519
; D24      ; 417        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1520
; D25      ; 410        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1521
; D26      ; 383        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1522
; D27      ; 381        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1523
; D28      ; 380        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1524
; E1       ; 17         ; 1        ; AUD_XCK                                                   ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1525
; E2       ; 16         ; 1        ; ~ALTERA_FLASH_nCE_nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; N               ; no       ; On           ;
1526
; E3       ; 7          ; 1        ; AUD_DACLRCK                                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1527
; E4       ; 541        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1528
; E5       ; 542        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1529
; E6       ;            ; 8        ; VCCIO8                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
1530
; E7       ; 523        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1531
; E8       ; 526        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1532
; E9       ;            ; 8        ; VCCIO8                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
1533
; E10      ; 516        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1534
; E11      ; 499        ; 8        ; VGA_R[1]                                                  ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1535
; E12      ; 497        ; 8        ; VGA_R[0]                                                  ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1536
; E13      ;            ; 8        ; VCCIO8                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
1537
; E14      ; 486        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1538
; E15      ; 467        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1539
; E16      ;            ; 7        ; VCCIO7                                                    ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
1540
; E17      ; 456        ; 7        ; HEX0[2]                                                   ; output ; 2.5 V        ;         ; Column I/O ; Y               ; no       ; Off          ;
1541
; E18      ; 427        ; 7        ; LEDR[5]                                                   ; output ; 2.5 V        ;         ; Column I/O ; Y               ; no       ; Off          ;
1542
; E19      ; 421        ; 7        ; LEDR[2]                                                   ; output ; 2.5 V        ;         ; Column I/O ; Y               ; no       ; Off          ;
1543
; E20      ;            ; 7        ; VCCIO7                                                    ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
1544
; E21      ; 407        ; 7        ; LEDG[0]                                                   ; output ; 2.5 V        ;         ; Column I/O ; Y               ; no       ; Off          ;
1545
; E22      ; 403        ; 7        ; LEDG[1]                                                   ; output ; 2.5 V        ;         ; Column I/O ; Y               ; no       ; Off          ;
1546
; E23      ;            ; 7        ; VCCIO7                                                    ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
1547
; E24      ; 433        ; 7        ; LEDG[3]                                                   ; output ; 2.5 V        ;         ; Column I/O ; Y               ; no       ; Off          ;
1548
; E25      ; 434        ; 7        ; LEDG[2]                                                   ; output ; 2.5 V        ;         ; Column I/O ; Y               ; no       ; Off          ;
1549
; E26      ; 378        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1550
; E27      ; 375        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1551
; E28      ; 374        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1552
; F1       ; 19         ; 1        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1553
; F2       ; 18         ; 1        ; AUD_BCLK                                                  ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1554
; F3       ; 8          ; 1        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1555
; F4       ; 10         ; 1        ; ~ALTERA_ASDO_DATA1~ / RESERVED_INPUT_WITH_WEAK_PULLUP     ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; N               ; no       ; On           ;
1556
; F5       ; 9          ; 1        ; PS2_DAT2                                                  ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1557
; F6       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1558
; F7       ; 531        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1559
; F8       ; 527        ; 8        ; VGA_G[2]                                                  ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1560
; F9       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1561
; F10      ; 512        ; 8        ; VGA_G[6]                                                  ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1562
; F11      ; 500        ; 8        ; VGA_BLANK_N                                               ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1563
; F12      ; 498        ; 8        ; VGA_R[3]                                                  ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1564
; F13      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1565
; F14      ; 485        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1566
; F15      ; 466        ; 7        ; LEDR[14]                                                  ; output ; 2.5 V        ;         ; Column I/O ; Y               ; no       ; Off          ;
1567
; F16      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1568
; F17      ; 455        ; 7        ; LEDG[8]                                                   ; output ; 2.5 V        ;         ; Column I/O ; Y               ; no       ; Off          ;
1569
; F18      ; 428        ; 7        ; LEDR[4]                                                   ; output ; 2.5 V        ;         ; Column I/O ; Y               ; no       ; Off          ;
1570
; F19      ; 420        ; 7        ; LEDR[1]                                                   ; output ; 2.5 V        ;         ; Column I/O ; Y               ; no       ; Off          ;
1571
; F20      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1572
; F21      ; 408        ; 7        ; LEDR[3]                                                   ; output ; 2.5 V        ;         ; Column I/O ; Y               ; no       ; Off          ;
1573
; F22      ; 409        ; 7        ; HEX0[1]                                                   ; output ; 2.5 V        ;         ; Column I/O ; Y               ; no       ; Off          ;
1574
; F23      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1575
; F24      ; 396        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1576
; F25      ; 395        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1577
; F26      ; 379        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1578
; F27      ; 373        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1579
; F28      ; 372        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1580
; G1       ; 26         ; 1        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1581
; G2       ; 25         ; 1        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1582
; G3       ; 13         ; 1        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1583
; G4       ; 12         ; 1        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1584
; G5       ; 6          ; 1        ; PS2_CLK2                                                  ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1585
; G6       ; 5          ; 1        ; PS2_CLK                                                   ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1586
; G7       ; 530        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1587
; G8       ; 528        ; 8        ; VGA_G[0]                                                  ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1588
; G9       ; 525        ; 8        ; UART_TXD                                                  ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1589
; G10      ; 513        ; 8        ; VGA_R[4]                                                  ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1590
; G11      ; 506        ; 8        ; VGA_G[1]                                                  ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1591
; G12      ; 503        ; 8        ; UART_RXD                                                  ; input  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1592
; G13      ; 493        ; 8        ; VGA_HS                                                    ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1593
; G14      ; 484        ; 8        ; UART_RTS                                                  ; input  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1594
; G15      ; 457        ; 7        ; LEDR[15]                                                  ; output ; 2.5 V        ;         ; Column I/O ; Y               ; no       ; Off          ;
1595
; G16      ; 453        ; 7        ; LEDR[16]                                                  ; output ; 2.5 V        ;         ; Column I/O ; Y               ; no       ; Off          ;
1596
; G17      ; 437        ; 7        ; LEDR[9]                                                   ; output ; 2.5 V        ;         ; Column I/O ; Y               ; no       ; Off          ;
1597
; G18      ; 452        ; 7        ; HEX0[0]                                                   ; output ; 2.5 V        ;         ; Column I/O ; Y               ; no       ; Off          ;
1598
; G19      ; 451        ; 7        ; LEDR[0]                                                   ; output ; 2.5 V        ;         ; Column I/O ; Y               ; no       ; Off          ;
1599
; G20      ; 444        ; 7        ; LEDG[5]                                                   ; output ; 2.5 V        ;         ; Column I/O ; Y               ; no       ; Off          ;
1600
; G21      ; 445        ; 7        ; LEDG[7]                                                   ; output ; 2.5 V        ;         ; Column I/O ; Y               ; no       ; Off          ;
1601
; G22      ; 449        ; 7        ; LEDG[6]                                                   ; output ; 2.5 V        ;         ; Column I/O ; Y               ; no       ; Off          ;
1602
; G23      ; 398        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1603
; G24      ; 397        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1604
; G25      ; 393        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1605
; G26      ; 392        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1606
; G27      ; 367        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1607
; G28      ; 366        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1608
; H1       ;            ; 1        ; VCCIO1                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
1609
; H2       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1610
; H3       ; 15         ; 1        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1611
; H4       ; 14         ; 1        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1612
; H5       ; 20         ; 1        ; PS2_DAT                                                   ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1613
; H6       ; 11         ; 1        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1614
; H7       ; 4          ; 1        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; --       ; --           ;
1615
; H8       ; 529        ; 8        ; VGA_R[6]                                                  ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1616
; H9       ;            ;          ; GNDA3                                                     ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1617
; H10      ; 514        ; 8        ; VGA_R[7]                                                  ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1618
; H11      ;            ; 8        ; VCCIO8                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
1619
; H12      ; 507        ; 8        ; VGA_G[3]                                                  ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1620
; H13      ; 494        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1621
; H14      ; 480        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1622
; H15      ; 464        ; 7        ; LEDR[17]                                                  ; output ; 2.5 V        ;         ; Column I/O ; Y               ; no       ; Off          ;
1623
; H16      ; 459        ; 7        ; LEDR[11]                                                  ; output ; 2.5 V        ;         ; Column I/O ; Y               ; no       ; Off          ;
1624
; H17      ; 454        ; 7        ; LEDR[13]                                                  ; output ; 2.5 V        ;         ; Column I/O ; Y               ; no       ; Off          ;
1625
; H18      ;            ; 7        ; VCCIO7                                                    ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
1626
; H19      ; 446        ; 7        ; LEDR[7]                                                   ; output ; 2.5 V        ;         ; Column I/O ; Y               ; no       ; Off          ;
1627
; H20      ;            ;          ; GNDA2                                                     ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1628
; H21      ; 448        ; 7        ; LEDG[4]                                                   ; output ; 2.5 V        ;         ; Column I/O ; Y               ; no       ; Off          ;
1629
; H22      ; 399        ; 6        ; HEX0[6]                                                   ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1630
; H23      ; 391        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1631
; H24      ; 390        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1632
; H25      ; 377        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1633
; H26      ; 376        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1634
; H27      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1635
; H28      ;            ; 6        ; VCCIO6                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
1636
; J1       ; 64         ; 1        ; GND+                                                      ;        ;              ;         ; Row I/O    ;                 ; --       ; --           ;
1637
; J2       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1638
; J3       ; 23         ; 1        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1639
; J4       ; 22         ; 1        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1640
; J5       ; 36         ; 1        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1641
; J6       ; 35         ; 1        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1642
; J7       ; 37         ; 1        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1643
; J8       ;            ; --       ; VCCA3                                                     ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
1644
; J9       ;            ;          ; VCCD_PLL3                                                 ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1645
; J10      ; 515        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1646
; J11      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1647
; J12      ; 490        ; 8        ; VGA_R[5]                                                  ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1648
; J13      ; 489        ; 8        ; UART_CTS                                                  ; input  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1649
; J14      ; 481        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1650
; J15      ; 465        ; 7        ; LEDR[10]                                                  ; output ; 2.5 V        ;         ; Column I/O ; Y               ; no       ; Off          ;
1651
; J16      ; 458        ; 7        ; LEDR[12]                                                  ; output ; 2.5 V        ;         ; Column I/O ; Y               ; no       ; Off          ;
1652
; J17      ; 450        ; 7        ; LEDR[8]                                                   ; output ; 2.5 V        ;         ; Column I/O ; Y               ; no       ; Off          ;
1653
; J18      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1654
; J19      ; 447        ; 7        ; LEDR[6]                                                   ; output ; 2.5 V        ;         ; Column I/O ; Y               ; no       ; Off          ;
1655
; J20      ;            ;          ; VCCD_PLL2                                                 ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1656
; J21      ;            ; --       ; VCCA2                                                     ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
1657
; J22      ; 394        ; 6        ; HEX0[5]                                                   ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1658
; J23      ; 387        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1659
; J24      ; 386        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1660
; J25      ; 365        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1661
; J26      ; 364        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1662
; J27      ; 338        ; 6        ; GND+                                                      ;        ;              ;         ; Row I/O    ;                 ; --       ; --           ;
1663
; J28      ; 337        ; 6        ; GND+                                                      ;        ;              ;         ; Row I/O    ;                 ; --       ; --           ;
1664
; K1       ; 28         ; 1        ; LCD_DATA[4]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1665
; K2       ; 27         ; 1        ; LCD_DATA[5]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1666
; K3       ; 30         ; 1        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1667
; K4       ; 29         ; 1        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1668
; K5       ;            ; 1        ; VCCIO1                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
1669
; K6       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1670
; K7       ; 38         ; 1        ; LCD_DATA[3]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1671
; K8       ; 39         ; 1        ; DRAM_DQM_2                                                ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1672
; K9       ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1673
; K10      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1674
; K11      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1675
; K12      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1676
; K13      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1677
; K14      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1678
; K15      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1679
; K16      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1680
; K17      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1681
; K18      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1682
; K19      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1683
; K20      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1684
; K21      ; 389        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1685
; K22      ; 388        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1686
; K23      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1687
; K24      ;            ; 6        ; VCCIO6                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
1688
; K25      ; 371        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1689
; K26      ; 370        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1690
; K27      ; 362        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1691
; K28      ; 361        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1692
; L1       ; 49         ; 1        ; LCD_DATA[1]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1693
; L2       ; 48         ; 1        ; LCD_DATA[2]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1694
; L3       ; 32         ; 1        ; LCD_DATA[0]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1695
; L4       ; 31         ; 1        ; LCD_EN                                                    ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1696
; L5       ; 21         ; 1        ; LCD_ON                                                    ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1697
; L6       ; 43         ; 1        ; LCD_BLON                                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1698
; L7       ; 42         ; 1        ; DRAM_DQ[23]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1699
; L8       ; 40         ; 1        ; DRAM_DQ[17]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1700
; L9       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1701
; L10      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1702
; L11      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1703
; L12      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1704
; L13      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1705
; L14      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1706
; L15      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1707
; L16      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1708
; L17      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1709
; L18      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1710
; L19      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1711
; L20      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1712
; L21      ; 385        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1713
; L22      ; 384        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1714
; L23      ; 360        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1715
; L24      ; 359        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1716
; L25      ; 369        ; 6        ; HEX0[4]                                                   ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1717
; L26      ; 363        ; 6        ; HEX0[3]                                                   ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1718
; L27      ; 358        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1719
; L28      ; 357        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1720
; M1       ; 51         ; 1        ; LCD_RW                                                    ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1721
; M2       ; 50         ; 1        ; LCD_RS                                                    ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1722
; M3       ; 34         ; 1        ; LCD_DATA[6]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1723
; M4       ; 33         ; 1        ; DRAM_DQ[21]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1724
; M5       ; 41         ; 1        ; LCD_DATA[7]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1725
; M6       ; 24         ; 1        ; ^nSTATUS                                                  ;        ;              ;         ; --         ;                 ; --       ; --           ;
1726
; M7       ; 47         ; 1        ; DRAM_DQ[22]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1727
; M8       ; 46         ; 1        ; DRAM_DQ[16]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1728
; M9       ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1729
; M10      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1730
; M11      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1731
; M12      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1732
; M13      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1733
; M14      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1734
; M15      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1735
; M16      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1736
; M17      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1737
; M18      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1738
; M19      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1739
; M20      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1740
; M21      ; 368        ; 6        ; KEY[1]                                                    ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1741
; M22      ; 342        ; 6        ; ^MSEL2                                                    ;        ;              ;         ; --         ;                 ; --       ; --           ;
1742
; M23      ; 344        ; 6        ; KEY[0]                                                    ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1743
; M24      ; 347        ; 6        ; HEX1[0]                                                   ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1744
; M25      ; 356        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1745
; M26      ; 355        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1746
; M27      ; 354        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1747
; M28      ; 353        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1748
; N1       ;            ; 1        ; VCCIO1                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
1749
; N2       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1750
; N3       ; 45         ; 1        ; DRAM_DQ[19]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1751
; N4       ; 44         ; 1        ; DRAM_DQ[20]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1752
; N5       ;            ; 1        ; VCCIO1                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
1753
; N6       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1754
; N7       ; 56         ; 1        ; ~ALTERA_DATA0~ / RESERVED_INPUT_WITH_WEAK_PULLUP          ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; N               ; no       ; On           ;
1755
; N8       ; 54         ; 1        ; DRAM_DQM_3                                                ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1756
; N9       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1757
; N10      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1758
; N11      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1759
; N12      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1760
; N13      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1761
; N14      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1762
; N15      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1763
; N16      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1764
; N17      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1765
; N18      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1766
; N19      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1767
; N20      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1768
; N21      ; 348        ; 6        ; KEY[2]                                                    ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1769
; N22      ; 340        ; 6        ; ^MSEL0                                                    ;        ;              ;         ; --         ;                 ; --       ; --           ;
1770
; N23      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1771
; N24      ;            ; 6        ; VCCIO6                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
1772
; N25      ; 352        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1773
; N26      ; 351        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1774
; N27      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1775
; N28      ;            ; 6        ; VCCIO6                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
1776
; P1       ; 53         ; 1        ; DRAM_ADDR[3]                                              ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1777
; P2       ; 52         ; 1        ; DRAM_DQ[18]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1778
; P3       ; 55         ; 1        ; ~ALTERA_DCLK~                                             ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; N               ; no       ; On           ;
1779
; P4       ; 57         ; 1        ; ^nCONFIG                                                  ;        ;              ;         ; --         ;                 ; --       ; --           ;
1780
; P5       ; 59         ; 1        ; #TCK                                                      ; input  ;              ;         ; --         ;                 ; --       ; --           ;
1781
; P6       ; 61         ; 1        ; #TDO                                                      ; output ;              ;         ; --         ;                 ; --       ; --           ;
1782
; P7       ; 58         ; 1        ; #TDI                                                      ; input  ;              ;         ; --         ;                 ; --       ; --           ;
1783
; P8       ; 60         ; 1        ; #TMS                                                      ; input  ;              ;         ; --         ;                 ; --       ; --           ;
1784
; P9       ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1785
; P10      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1786
; P11      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1787
; P12      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1788
; P13      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1789
; P14      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1790
; P15      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1791
; P16      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1792
; P17      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1793
; P18      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1794
; P19      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1795
; P20      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1796
; P21      ; 334        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1797
; P22      ; 343        ; 6        ; ^MSEL3                                                    ;        ;              ;         ; --         ;                 ; --       ; --           ;
1798
; P23      ; 341        ; 6        ; ^MSEL1                                                    ;        ;              ;         ; --         ;                 ; --       ; --           ;
1799
; P24      ; 339        ; 6        ; ^CONF_DONE                                                ;        ;              ;         ; --         ;                 ; --       ; --           ;
1800
; P25      ; 346        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1801
; P26      ; 345        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1802
; P27      ; 350        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1803
; P28      ; 349        ; 6        ; ~ALTERA_nCEO~ / RESERVED_OUTPUT_OPEN_DRAIN                ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; N               ; no       ; Off          ;
1804
; R1       ; 68         ; 2        ; DRAM_DQ[26]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1805
; R2       ; 67         ; 2        ; DRAM_DQ[27]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1806
; R3       ; 73         ; 2        ; DRAM_DQ[28]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1807
; R4       ; 74         ; 2        ; DRAM_BA_1                                                 ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1808
; R5       ; 77         ; 2        ; DRAM_ADDR[10]                                             ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1809
; R6       ; 70         ; 2        ; DRAM_ADDR[0]                                              ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1810
; R7       ; 69         ; 2        ; DRAM_DQ[25]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1811
; R8       ; 62         ; 1        ; ^nCE                                                      ;        ;              ;         ; --         ;                 ; --       ; --           ;
1812
; R9       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1813
; R10      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1814
; R11      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1815
; R12      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1816
; R13      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1817
; R14      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1818
; R15      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1819
; R16      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1820
; R17      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1821
; R18      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1822
; R19      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1823
; R20      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1824
; R21      ; 333        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1825
; R22      ; 332        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1826
; R23      ; 331        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1827
; R24      ; 330        ; 5        ; KEY[3]                                                    ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1828
; R25      ; 327        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1829
; R26      ; 326        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1830
; R27      ; 329        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1831
; R28      ; 328        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1832
; T1       ;            ; 2        ; VCCIO2                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
1833
; T2       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1834
; T3       ; 76         ; 2        ; DRAM_DQ[29]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1835
; T4       ; 75         ; 2        ; DRAM_CS_N                                                 ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1836
; T5       ;            ; 2        ; VCCIO2                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
1837
; T6       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1838
; T7       ; 78         ; 2        ; SRAM_ADDR[9]                                              ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1839
; T8       ; 100        ; 2        ; SRAM_ADDR[19]                                             ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1840
; T9       ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1841
; T10      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1842
; T11      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1843
; T12      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1844
; T13      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1845
; T14      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1846
; T15      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1847
; T16      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1848
; T17      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1849
; T18      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1850
; T19      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1851
; T20      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1852
; T21      ; 325        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1853
; T22      ; 324        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1854
; T23      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1855
; T24      ;            ; 5        ; VCCIO5                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
1856
; T25      ; 323        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1857
; T26      ; 322        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1858
; T27      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1859
; T28      ;            ; 5        ; VCCIO5                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
1860
; U1       ; 80         ; 2        ; DRAM_DQ[31]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1861
; U2       ; 79         ; 2        ; DRAM_DQM_0                                                ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1862
; U3       ; 71         ; 2        ; DRAM_DQ[7]                                                ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1863
; U4       ; 72         ; 2        ; DRAM_DQ[30]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1864
; U5       ; 90         ; 2        ; DRAM_DQ[24]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1865
; U6       ; 89         ; 2        ; DRAM_RAS_N                                                ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1866
; U7       ; 103        ; 2        ; DRAM_BA_0                                                 ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1867
; U8       ; 104        ; 2        ; DRAM_ADDR[2]                                              ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1868
; U9       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1869
; U10      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1870
; U11      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1871
; U12      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1872
; U13      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1873
; U14      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1874
; U15      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1875
; U16      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1876
; U17      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1877
; U18      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1878
; U19      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1879
; U20      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1880
; U21      ; 319        ; 5        ; HEX3[1]                                                   ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1881
; U22      ; 313        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1882
; U23      ; 305        ; 5        ; HEX1[5]                                                   ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1883
; U24      ; 316        ; 5        ; HEX1[6]                                                   ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1884
; U25      ; 315        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1885
; U26      ; 314        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1886
; U27      ; 318        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1887
; U28      ; 317        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1888
; V1       ; 84         ; 2        ; DRAM_DQ[6]                                                ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1889
; V2       ; 83         ; 2        ; DRAM_DQ[5]                                                ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1890
; V3       ; 82         ; 2        ; DRAM_DQ[4]                                                ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1891
; V4       ; 81         ; 2        ; DRAM_DQ[2]                                                ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1892
; V5       ; 108        ; 2        ; DRAM_ADDR[4]                                              ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1893
; V6       ; 107        ; 2        ; DRAM_WE_N                                                 ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1894
; V7       ; 110        ; 2        ; DRAM_CAS_N                                                ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1895
; V8       ; 109        ; 2        ; DRAM_ADDR[1]                                              ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1896
; V9       ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1897
; V10      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1898
; V11      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1899
; V12      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1900
; V13      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1901
; V14      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1902
; V15      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1903
; V16      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1904
; V17      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1905
; V18      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1906
; V19      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1907
; V20      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1908
; V21      ; 311        ; 5        ; HEX3[0]                                                   ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1909
; V22      ; 312        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1910
; V23      ; 309        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1911
; V24      ; 308        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1912
; V25      ; 307        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1913
; V26      ; 306        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1914
; V27      ; 304        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1915
; V28      ; 303        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1916
; W1       ; 88         ; 2        ; DRAM_DQ[3]                                                ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1917
; W2       ; 87         ; 2        ; DRAM_DQ[1]                                                ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1918
; W3       ; 112        ; 2        ; DRAM_DQ[0]                                                ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1919
; W4       ; 111        ; 2        ; DRAM_DQM_1                                                ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1920
; W5       ;            ; 2        ; VCCIO2                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
1921
; W6       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1922
; W7       ; 115        ; 2        ; DRAM_ADDR[6]                                              ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1923
; W8       ; 116        ; 2        ; DRAM_ADDR[5]                                              ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1924
; W9       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1925
; W10      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1926
; W11      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1927
; W12      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1928
; W13      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1929
; W14      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1930
; W15      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1931
; W16      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1932
; W17      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1933
; W18      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1934
; W19      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1935
; W20      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1936
; W21      ; 310        ; 5        ; HEX1[2]                                                   ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1937
; W22      ; 321        ; 5        ; HEX1[3]                                                   ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1938
; W23      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1939
; W24      ;            ; 5        ; VCCIO5                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
1940
; W25      ; 300        ; 5        ; HEX1[4]                                                   ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1941
; W26      ; 299        ; 5        ; HEX2[3]                                                   ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1942
; W27      ; 301        ; 5        ; HEX2[5]                                                   ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1943
; W28      ; 302        ; 5        ; HEX2[6]                                                   ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1944
; Y1       ; 66         ; 2        ; FL_RY                                                     ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1945
; Y2       ; 65         ; 2        ; CLOCK_50                                                  ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1946
; Y3       ; 92         ; 2        ; DRAM_DQ[8]                                                ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1947
; Y4       ; 91         ; 2        ; DRAM_DQ[9]                                                ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1948
; Y5       ; 114        ; 2        ; DRAM_ADDR[8]                                              ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1949
; Y6       ; 113        ; 2        ; DRAM_ADDR[9]                                              ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1950
; Y7       ; 117        ; 2        ; DRAM_ADDR[12]                                             ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1951
; Y8       ;            ; --       ; VCCA1                                                     ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
1952
; Y9       ;            ;          ; VCCD_PLL1                                                 ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1953
; Y10      ; 140        ; 3        ; FL_ADDR[15]                                               ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1954
; Y11      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1955
; Y12      ; 187        ; 3        ; FL_ADDR[4]                                                ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1956
; Y13      ; 189        ; 3        ; FL_ADDR[2]                                                ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1957
; Y14      ; 197        ; 3        ; FL_ADDR[3]                                                ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1958
; Y15      ; 198        ; 3        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1959
; Y16      ; 250        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1960
; Y17      ; 249        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1961
; Y18      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1962
; Y19      ; 263        ; 4        ; HEX3[6]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
1963
; Y20      ;            ;          ; VCCD_PLL4                                                 ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1964
; Y21      ;            ; --       ; VCCA4                                                     ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
1965
; Y22      ; 320        ; 5        ; HEX1[1]                                                   ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1966
; Y23      ; 288        ; 5        ; SW[17]                                                    ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1967
; Y24      ; 287        ; 5        ; SW[16]                                                    ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1968
; Y25      ; 298        ; 5        ; HEX2[2]                                                   ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1969
; Y26      ; 297        ; 5        ; HEX2[4]                                                   ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
1970
; Y27      ; 336        ; 5        ; GND+                                                      ;        ;              ;         ; Row I/O    ;                 ; --       ; --           ;
1971
; Y28      ; 335        ; 5        ; GND+                                                      ;        ;              ;         ; Row I/O    ;                 ; --       ; --           ;
1972
+----------+------------+----------+-----------------------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+
1973
Note: Pin directions (input, output or bidir) are based on device operating in user mode.
1974
 
1975
 
1976
+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
1977
; Fitter Resource Utilization by Entity                                                                                                                                                                                                                                                                                                            ;
1978
+-------------------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+---------------------------------------------------------------------------------------+--------------+
1979
; Compilation Hierarchy Node                ; Logic Cells ; Dedicated Logic Registers ; I/O Registers ; Memory Bits ; M9Ks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Full Hierarchy Name                                                                   ; Library Name ;
1980
+-------------------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+---------------------------------------------------------------------------------------+--------------+
1981
; |Z80SOC                                   ; 3187 (679)  ; 625 (98)                  ; 0 (0)         ; 196600      ; 26   ; 0            ; 0       ; 0         ; 303  ; 0            ; 2562 (580)   ; 89 (18)           ; 536 (58)         ; |Z80SOC                                                                               ; work         ;
1982
;    |LCD:lcd_inst|                         ; 104 (104)   ; 60 (60)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 44 (44)      ; 2 (2)             ; 58 (58)          ; |Z80SOC|LCD:lcd_inst                                                                  ; work         ;
1983
;    |T80se:z80_inst|                       ; 2081 (18)   ; 345 (12)                  ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1736 (6)     ; 29 (0)            ; 316 (12)         ; |Z80SOC|T80se:z80_inst                                                                ; work         ;
1984
;       |T80:u0|                            ; 2063 (845)  ; 333 (205)                 ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1730 (656)   ; 29 (11)           ; 304 (189)        ; |Z80SOC|T80se:z80_inst|T80:u0                                                         ; work         ;
1985
;          |T80_ALU:alu|                    ; 458 (458)   ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 458 (458)    ; 0 (0)             ; 0 (0)            ; |Z80SOC|T80se:z80_inst|T80:u0|T80_ALU:alu                                             ; work         ;
1986
;          |T80_MCode:mcode|                ; 475 (475)   ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 471 (471)    ; 0 (0)             ; 4 (4)            ; |Z80SOC|T80se:z80_inst|T80:u0|T80_MCode:mcode                                         ; work         ;
1987
;          |T80_Reg:Regs|                   ; 289 (289)   ; 128 (128)                 ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 145 (145)    ; 18 (18)           ; 126 (126)        ; |Z80SOC|T80se:z80_inst|T80:u0|T80_Reg:Regs                                            ; work         ;
1988
;    |charram:cram|                         ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 16384       ; 2    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |Z80SOC|charram:cram                                                                  ; work         ;
1989
;       |altsyncram:altsyncram_component|   ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 16384       ; 2    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |Z80SOC|charram:cram|altsyncram:altsyncram_component                                  ; work         ;
1990
;          |altsyncram_l4o1:auto_generated| ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 16384       ; 2    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |Z80SOC|charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated   ; work         ;
1991
;    |clk_div:clkdiv_inst|                  ; 41 (41)     ; 36 (36)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 5 (5)        ; 7 (7)             ; 29 (29)          ; |Z80SOC|clk_div:clkdiv_inst                                                           ; work         ;
1992
;    |decoder_7seg:DISPHEX0|                ; 7 (7)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 3 (3)        ; 0 (0)             ; 4 (4)            ; |Z80SOC|decoder_7seg:DISPHEX0                                                         ; work         ;
1993
;    |decoder_7seg:DISPHEX1|                ; 7 (7)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 3 (3)        ; 0 (0)             ; 4 (4)            ; |Z80SOC|decoder_7seg:DISPHEX1                                                         ; work         ;
1994
;    |decoder_7seg:DISPHEX2|                ; 7 (7)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 3 (3)        ; 0 (0)             ; 4 (4)            ; |Z80SOC|decoder_7seg:DISPHEX2                                                         ; work         ;
1995
;    |decoder_7seg:DISPHEX3|                ; 7 (7)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 3 (3)        ; 0 (0)             ; 4 (4)            ; |Z80SOC|decoder_7seg:DISPHEX3                                                         ; work         ;
1996
;    |decoder_7seg:DISPHEX4|                ; 7 (7)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 3 (3)        ; 0 (0)             ; 4 (4)            ; |Z80SOC|decoder_7seg:DISPHEX4                                                         ; work         ;
1997
;    |decoder_7seg:DISPHEX5|                ; 7 (7)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 3 (3)        ; 0 (0)             ; 4 (4)            ; |Z80SOC|decoder_7seg:DISPHEX5                                                         ; work         ;
1998
;    |decoder_7seg:DISPHEX6|                ; 7 (7)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 3 (3)        ; 0 (0)             ; 4 (4)            ; |Z80SOC|decoder_7seg:DISPHEX6                                                         ; work         ;
1999
;    |decoder_7seg:DISPHEX7|                ; 7 (7)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 3 (3)        ; 0 (0)             ; 4 (4)            ; |Z80SOC|decoder_7seg:DISPHEX7                                                         ; work         ;
2000
;    |ps2kbd:ps2_kbd_inst|                  ; 164 (121)   ; 35 (2)                    ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 129 (119)    ; 20 (1)            ; 15 (8)           ; |Z80SOC|ps2kbd:ps2_kbd_inst                                                           ; work         ;
2001
;       |keyboard:kbd_inst|                 ; 43 (43)     ; 33 (33)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 10 (10)      ; 19 (19)           ; 14 (14)          ; |Z80SOC|ps2kbd:ps2_kbd_inst|keyboard:kbd_inst                                         ; work         ;
2002
;    |rom:rom_inst|                         ; 2 (0)       ; 2 (0)                     ; 0 (0)         ; 131072      ; 16   ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 1 (0)             ; 1 (0)            ; |Z80SOC|rom:rom_inst                                                                  ; work         ;
2003
;       |altsyncram:altsyncram_component|   ; 2 (0)       ; 2 (0)                     ; 0 (0)         ; 131072      ; 16   ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 1 (0)             ; 1 (0)            ; |Z80SOC|rom:rom_inst|altsyncram:altsyncram_component                                  ; work         ;
2004
;          |altsyncram_f0a1:auto_generated| ; 2 (2)       ; 2 (2)                     ; 0 (0)         ; 131072      ; 16   ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 1 (1)             ; 1 (1)            ; |Z80SOC|rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated   ; work         ;
2005
;    |video:video_inst|                     ; 93 (18)     ; 49 (0)                    ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 44 (13)      ; 12 (0)            ; 37 (9)           ; |Z80SOC|video:video_inst                                                              ; work         ;
2006
;       |VGA_SYNC:vga_sync_inst|            ; 80 (80)     ; 49 (49)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 31 (31)      ; 12 (12)           ; 37 (37)          ; |Z80SOC|video:video_inst|VGA_SYNC:vga_sync_inst                                       ; work         ;
2007
;    |vram:vram_inst|                       ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 49144       ; 8    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |Z80SOC|vram:vram_inst                                                                ; work         ;
2008
;       |altsyncram:altsyncram_component|   ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 49144       ; 8    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |Z80SOC|vram:vram_inst|altsyncram:altsyncram_component                                ; work         ;
2009
;          |altsyncram_oal1:auto_generated| ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 49144       ; 8    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |Z80SOC|vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated ; work         ;
2010
+-------------------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+---------------------------------------------------------------------------------------+--------------+
2011
Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy.
2012
 
2013
 
2014
+-----------------------------------------------------------------------------------------------+
2015
; Delay Chain Summary                                                                           ;
2016
+---------------+----------+---------------+---------------+-----------------------+-----+------+
2017
; Name          ; Pin Type ; Pad to Core 0 ; Pad to Core 1 ; Pad to Input Register ; TCO ; TCOE ;
2018
+---------------+----------+---------------+---------------+-----------------------+-----+------+
2019
; HEX0[0]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2020
; HEX0[1]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2021
; HEX0[2]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2022
; HEX0[3]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2023
; HEX0[4]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2024
; HEX0[5]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2025
; HEX0[6]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2026
; HEX1[0]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2027
; HEX1[1]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2028
; HEX1[2]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2029
; HEX1[3]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2030
; HEX1[4]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2031
; HEX1[5]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2032
; HEX1[6]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2033
; HEX2[0]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2034
; HEX2[1]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2035
; HEX2[2]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2036
; HEX2[3]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2037
; HEX2[4]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2038
; HEX2[5]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2039
; HEX2[6]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2040
; HEX3[0]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2041
; HEX3[1]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2042
; HEX3[2]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2043
; HEX3[3]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2044
; HEX3[4]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2045
; HEX3[5]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2046
; HEX3[6]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2047
; HEX4[0]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2048
; HEX4[1]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2049
; HEX4[2]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2050
; HEX4[3]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2051
; HEX4[4]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2052
; HEX4[5]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2053
; HEX4[6]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2054
; HEX5[0]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2055
; HEX5[1]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2056
; HEX5[2]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2057
; HEX5[3]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2058
; HEX5[4]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2059
; HEX5[5]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2060
; HEX5[6]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2061
; HEX6[0]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2062
; HEX6[1]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2063
; HEX6[2]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2064
; HEX6[3]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2065
; HEX6[4]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2066
; HEX6[5]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2067
; HEX6[6]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2068
; HEX7[0]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2069
; HEX7[1]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2070
; HEX7[2]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2071
; HEX7[3]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2072
; HEX7[4]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2073
; HEX7[5]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2074
; HEX7[6]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2075
; LEDG[0]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2076
; LEDG[1]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2077
; LEDG[2]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2078
; LEDG[3]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2079
; LEDG[4]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2080
; LEDG[5]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2081
; LEDG[6]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2082
; LEDG[7]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2083
; LEDG[8]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2084
; LEDR[0]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2085
; LEDR[1]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2086
; LEDR[2]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2087
; LEDR[3]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2088
; LEDR[4]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2089
; LEDR[5]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2090
; LEDR[6]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2091
; LEDR[7]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2092
; LEDR[8]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2093
; LEDR[9]       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2094
; LEDR[10]      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2095
; LEDR[11]      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2096
; LEDR[12]      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2097
; LEDR[13]      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2098
; LEDR[14]      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2099
; LEDR[15]      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2100
; LEDR[16]      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2101
; LEDR[17]      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2102
; UART_TXD      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2103
; UART_RXD      ; Input    ; --            ; --            ; --                    ; --  ; --   ;
2104
; UART_RTS      ; Input    ; --            ; --            ; --                    ; --  ; --   ;
2105
; UART_CTS      ; Input    ; --            ; --            ; --                    ; --  ; --   ;
2106
; DRAM_BA_0     ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2107
; DRAM_BA_1     ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2108
; DRAM_DQM_0    ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2109
; DRAM_DQM_1    ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2110
; DRAM_DQM_2    ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2111
; DRAM_DQM_3    ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2112
; DRAM_WE_N     ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2113
; DRAM_CAS_N    ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2114
; DRAM_RAS_N    ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2115
; DRAM_CS_N     ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2116
; DRAM_ADDR[0]  ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2117
; DRAM_ADDR[1]  ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2118
; DRAM_ADDR[2]  ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2119
; DRAM_ADDR[3]  ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2120
; DRAM_ADDR[4]  ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2121
; DRAM_ADDR[5]  ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2122
; DRAM_ADDR[6]  ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2123
; DRAM_ADDR[7]  ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2124
; DRAM_ADDR[8]  ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2125
; DRAM_ADDR[9]  ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2126
; DRAM_ADDR[10] ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2127
; DRAM_ADDR[11] ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2128
; DRAM_ADDR[12] ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2129
; DRAM_CLK      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2130
; DRAM_CKE      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2131
; FL_ADDR[0]    ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2132
; FL_ADDR[1]    ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2133
; FL_ADDR[2]    ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2134
; FL_ADDR[3]    ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2135
; FL_ADDR[4]    ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2136
; FL_ADDR[5]    ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2137
; FL_ADDR[6]    ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2138
; FL_ADDR[7]    ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2139
; FL_ADDR[8]    ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2140
; FL_ADDR[9]    ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2141
; FL_ADDR[10]   ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2142
; FL_ADDR[11]   ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2143
; FL_ADDR[12]   ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2144
; FL_ADDR[13]   ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2145
; FL_ADDR[14]   ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2146
; FL_ADDR[15]   ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2147
; FL_ADDR[16]   ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2148
; FL_ADDR[17]   ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2149
; FL_ADDR[18]   ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2150
; FL_ADDR[19]   ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2151
; FL_ADDR[20]   ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2152
; FL_ADDR[21]   ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2153
; FL_ADDR[22]   ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2154
; FL_RY         ; Input    ; --            ; --            ; --                    ; --  ; --   ;
2155
; FL_WP_N       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2156
; FL_WE_N       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2157
; FL_RST_N      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2158
; FL_OE_N       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2159
; FL_CE_N       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2160
; SRAM_ADDR[0]  ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2161
; SRAM_ADDR[1]  ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2162
; SRAM_ADDR[2]  ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2163
; SRAM_ADDR[3]  ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2164
; SRAM_ADDR[4]  ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2165
; SRAM_ADDR[5]  ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2166
; SRAM_ADDR[6]  ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2167
; SRAM_ADDR[7]  ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2168
; SRAM_ADDR[8]  ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2169
; SRAM_ADDR[9]  ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2170
; SRAM_ADDR[10] ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2171
; SRAM_ADDR[11] ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2172
; SRAM_ADDR[12] ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2173
; SRAM_ADDR[13] ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2174
; SRAM_ADDR[14] ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2175
; SRAM_ADDR[15] ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2176
; SRAM_ADDR[16] ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2177
; SRAM_ADDR[17] ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2178
; SRAM_ADDR[18] ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2179
; SRAM_ADDR[19] ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2180
; SRAM_UB_N     ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2181
; SRAM_LB_N     ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2182
; SRAM_WE_N     ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2183
; SRAM_CE_N     ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2184
; SRAM_OE_N     ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2185
; SD_DAT0       ; Input    ; --            ; --            ; --                    ; --  ; --   ;
2186
; SD_DAT3       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2187
; SD_CMD        ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2188
; SD_CLK        ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2189
; VGA_SYNC_N    ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2190
; VGA_CLK       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2191
; VGA_BLANK_N   ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2192
; VGA_HS        ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2193
; VGA_VS        ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2194
; VGA_R[0]      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2195
; VGA_R[1]      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2196
; VGA_R[2]      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2197
; VGA_R[3]      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2198
; VGA_R[4]      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2199
; VGA_R[5]      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2200
; VGA_R[6]      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2201
; VGA_R[7]      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2202
; VGA_G[0]      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2203
; VGA_G[1]      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2204
; VGA_G[2]      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2205
; VGA_G[3]      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2206
; VGA_G[4]      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2207
; VGA_G[5]      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2208
; VGA_G[6]      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2209
; VGA_G[7]      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2210
; VGA_B[0]      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2211
; VGA_B[1]      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2212
; VGA_B[2]      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2213
; VGA_B[3]      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2214
; VGA_B[4]      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2215
; VGA_B[5]      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2216
; VGA_B[6]      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2217
; VGA_B[7]      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2218
; AUD_ADCDAT    ; Input    ; --            ; --            ; --                    ; --  ; --   ;
2219
; AUD_DACDAT    ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2220
; AUD_XCK       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2221
; LCD_RS        ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2222
; LCD_EN        ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2223
; LCD_RW        ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2224
; LCD_ON        ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2225
; LCD_BLON      ; Output   ; --            ; --            ; --                    ; --  ; --   ;
2226
; SD_DAT1       ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
2227
; SD_DAT2       ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
2228
; PS2_DAT2      ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
2229
; PS2_CLK2      ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
2230
; DRAM_DQ[0]    ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
2231
; DRAM_DQ[1]    ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
2232
; DRAM_DQ[2]    ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
2233
; DRAM_DQ[3]    ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
2234
; DRAM_DQ[4]    ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
2235
; DRAM_DQ[5]    ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
2236
; DRAM_DQ[6]    ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
2237
; DRAM_DQ[7]    ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
2238
; DRAM_DQ[8]    ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
2239
; DRAM_DQ[9]    ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
2240
; DRAM_DQ[10]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
2241
; DRAM_DQ[11]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
2242
; DRAM_DQ[12]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
2243
; DRAM_DQ[13]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
2244
; DRAM_DQ[14]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
2245
; DRAM_DQ[15]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
2246
; DRAM_DQ[16]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
2247
; DRAM_DQ[17]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
2248
; DRAM_DQ[18]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
2249
; DRAM_DQ[19]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
2250
; DRAM_DQ[20]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
2251
; DRAM_DQ[21]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
2252
; DRAM_DQ[22]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
2253
; DRAM_DQ[23]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
2254
; DRAM_DQ[24]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
2255
; DRAM_DQ[25]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
2256
; DRAM_DQ[26]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
2257
; DRAM_DQ[27]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
2258
; DRAM_DQ[28]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
2259
; DRAM_DQ[29]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
2260
; DRAM_DQ[30]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
2261
; DRAM_DQ[31]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
2262
; FL_DQ[0]      ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
2263
; FL_DQ[1]      ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
2264
; FL_DQ[2]      ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
2265
; FL_DQ[3]      ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
2266
; FL_DQ[4]      ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
2267
; FL_DQ[5]      ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
2268
; FL_DQ[6]      ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
2269
; FL_DQ[7]      ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
2270
; SRAM_DQ[0]    ; Bidir    ; --            ; (6) 1314 ps   ; --                    ; --  ; --   ;
2271
; SRAM_DQ[1]    ; Bidir    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
2272
; SRAM_DQ[2]    ; Bidir    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
2273
; SRAM_DQ[3]    ; Bidir    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
2274
; SRAM_DQ[4]    ; Bidir    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
2275
; SRAM_DQ[5]    ; Bidir    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
2276
; SRAM_DQ[6]    ; Bidir    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
2277
; SRAM_DQ[7]    ; Bidir    ; --            ; (6) 1314 ps   ; --                    ; --  ; --   ;
2278
; SRAM_DQ[8]    ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
2279
; SRAM_DQ[9]    ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
2280
; SRAM_DQ[10]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
2281
; SRAM_DQ[11]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
2282
; SRAM_DQ[12]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
2283
; SRAM_DQ[13]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
2284
; SRAM_DQ[14]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
2285
; SRAM_DQ[15]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
2286
; PS2_DAT       ; Bidir    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
2287
; PS2_CLK       ; Bidir    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
2288
; AUD_ADCLRCK   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
2289
; AUD_DACLRCK   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
2290
; AUD_BCLK      ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
2291
; LCD_DATA[0]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
2292
; LCD_DATA[1]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
2293
; LCD_DATA[2]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
2294
; LCD_DATA[3]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
2295
; LCD_DATA[4]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
2296
; LCD_DATA[5]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
2297
; LCD_DATA[6]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
2298
; LCD_DATA[7]   ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
2299
; SW[17]        ; Input    ; --            ; (6) 1314 ps   ; --                    ; --  ; --   ;
2300
; CLOCK_50      ; Input    ; (0) 0 ps      ; --            ; --                    ; --  ; --   ;
2301
; SW[16]        ; Input    ; (0) 0 ps      ; --            ; --                    ; --  ; --   ;
2302
; SW[1]         ; Input    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
2303
; SW[9]         ; Input    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
2304
; KEY[1]        ; Input    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
2305
; SW[8]         ; Input    ; --            ; (6) 1314 ps   ; --                    ; --  ; --   ;
2306
; SW[0]         ; Input    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
2307
; KEY[0]        ; Input    ; --            ; (6) 1314 ps   ; --                    ; --  ; --   ;
2308
; SW[15]        ; Input    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
2309
; SW[7]         ; Input    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
2310
; SW[14]        ; Input    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
2311
; SW[6]         ; Input    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
2312
; SW[10]        ; Input    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
2313
; SW[2]         ; Input    ; --            ; (6) 1314 ps   ; --                    ; --  ; --   ;
2314
; KEY[2]        ; Input    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
2315
; SW[13]        ; Input    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
2316
; SW[5]         ; Input    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
2317
; SW[12]        ; Input    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
2318
; SW[4]         ; Input    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
2319
; SW[3]         ; Input    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
2320
; SW[11]        ; Input    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
2321
; KEY[3]        ; Input    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
2322
+---------------+----------+---------------+---------------+-----------------------+-----+------+
2323
 
2324
 
2325
+----------------------------------------------------------------------------------------------+
2326
; Pad To Core Delay Chain Fanout                                                               ;
2327
+----------------------------------------------------------------+-------------------+---------+
2328
; Source Pin / Fanout                                            ; Pad To Core Index ; Setting ;
2329
+----------------------------------------------------------------+-------------------+---------+
2330
; UART_RXD                                                       ;                   ;         ;
2331
; UART_RTS                                                       ;                   ;         ;
2332
; UART_CTS                                                       ;                   ;         ;
2333
; FL_RY                                                          ;                   ;         ;
2334
; SD_DAT0                                                        ;                   ;         ;
2335
; AUD_ADCDAT                                                     ;                   ;         ;
2336
; SD_DAT1                                                        ;                   ;         ;
2337
; SD_DAT2                                                        ;                   ;         ;
2338
; PS2_DAT2                                                       ;                   ;         ;
2339
; PS2_CLK2                                                       ;                   ;         ;
2340
; DRAM_DQ[0]                                                     ;                   ;         ;
2341
; DRAM_DQ[1]                                                     ;                   ;         ;
2342
; DRAM_DQ[2]                                                     ;                   ;         ;
2343
; DRAM_DQ[3]                                                     ;                   ;         ;
2344
; DRAM_DQ[4]                                                     ;                   ;         ;
2345
; DRAM_DQ[5]                                                     ;                   ;         ;
2346
; DRAM_DQ[6]                                                     ;                   ;         ;
2347
; DRAM_DQ[7]                                                     ;                   ;         ;
2348
; DRAM_DQ[8]                                                     ;                   ;         ;
2349
; DRAM_DQ[9]                                                     ;                   ;         ;
2350
; DRAM_DQ[10]                                                    ;                   ;         ;
2351
; DRAM_DQ[11]                                                    ;                   ;         ;
2352
; DRAM_DQ[12]                                                    ;                   ;         ;
2353
; DRAM_DQ[13]                                                    ;                   ;         ;
2354
; DRAM_DQ[14]                                                    ;                   ;         ;
2355
; DRAM_DQ[15]                                                    ;                   ;         ;
2356
; DRAM_DQ[16]                                                    ;                   ;         ;
2357
; DRAM_DQ[17]                                                    ;                   ;         ;
2358
; DRAM_DQ[18]                                                    ;                   ;         ;
2359
; DRAM_DQ[19]                                                    ;                   ;         ;
2360
; DRAM_DQ[20]                                                    ;                   ;         ;
2361
; DRAM_DQ[21]                                                    ;                   ;         ;
2362
; DRAM_DQ[22]                                                    ;                   ;         ;
2363
; DRAM_DQ[23]                                                    ;                   ;         ;
2364
; DRAM_DQ[24]                                                    ;                   ;         ;
2365
; DRAM_DQ[25]                                                    ;                   ;         ;
2366
; DRAM_DQ[26]                                                    ;                   ;         ;
2367
; DRAM_DQ[27]                                                    ;                   ;         ;
2368
; DRAM_DQ[28]                                                    ;                   ;         ;
2369
; DRAM_DQ[29]                                                    ;                   ;         ;
2370
; DRAM_DQ[30]                                                    ;                   ;         ;
2371
; DRAM_DQ[31]                                                    ;                   ;         ;
2372
; FL_DQ[0]                                                       ;                   ;         ;
2373
; FL_DQ[1]                                                       ;                   ;         ;
2374
; FL_DQ[2]                                                       ;                   ;         ;
2375
; FL_DQ[3]                                                       ;                   ;         ;
2376
; FL_DQ[4]                                                       ;                   ;         ;
2377
; FL_DQ[5]                                                       ;                   ;         ;
2378
; FL_DQ[6]                                                       ;                   ;         ;
2379
; FL_DQ[7]                                                       ;                   ;         ;
2380
; SRAM_DQ[0]                                                     ;                   ;         ;
2381
;      - DI_CPU[0]~49                                            ; 1                 ; 6       ;
2382
; SRAM_DQ[1]                                                     ;                   ;         ;
2383
;      - DI_CPU[1]~31                                            ; 0                 ; 6       ;
2384
; SRAM_DQ[2]                                                     ;                   ;         ;
2385
;      - DI_CPU[2]~77                                            ; 0                 ; 6       ;
2386
; SRAM_DQ[3]                                                     ;                   ;         ;
2387
;      - DI_CPU[3]~100                                           ; 0                 ; 6       ;
2388
; SRAM_DQ[4]                                                     ;                   ;         ;
2389
;      - DI_CPU[4]~94                                            ; 0                 ; 6       ;
2390
; SRAM_DQ[5]                                                     ;                   ;         ;
2391
;      - DI_CPU[5]~85                                            ; 0                 ; 6       ;
2392
; SRAM_DQ[6]                                                     ;                   ;         ;
2393
;      - DI_CPU[6]~71                                            ; 0                 ; 6       ;
2394
; SRAM_DQ[7]                                                     ;                   ;         ;
2395
;      - DI_CPU[7]~60                                            ; 1                 ; 6       ;
2396
; SRAM_DQ[8]                                                     ;                   ;         ;
2397
; SRAM_DQ[9]                                                     ;                   ;         ;
2398
; SRAM_DQ[10]                                                    ;                   ;         ;
2399
; SRAM_DQ[11]                                                    ;                   ;         ;
2400
; SRAM_DQ[12]                                                    ;                   ;         ;
2401
; SRAM_DQ[13]                                                    ;                   ;         ;
2402
; SRAM_DQ[14]                                                    ;                   ;         ;
2403
; SRAM_DQ[15]                                                    ;                   ;         ;
2404
; PS2_DAT                                                        ;                   ;         ;
2405
;      - ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR~0       ; 0                 ; 6       ;
2406
;      - ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set~0       ; 0                 ; 6       ;
2407
;      - ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]~feeder ; 0                 ; 6       ;
2408
; PS2_CLK                                                        ;                   ;         ;
2409
;      - ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[7]         ; 0                 ; 6       ;
2410
; AUD_ADCLRCK                                                    ;                   ;         ;
2411
; AUD_DACLRCK                                                    ;                   ;         ;
2412
; AUD_BCLK                                                       ;                   ;         ;
2413
; LCD_DATA[0]                                                    ;                   ;         ;
2414
; LCD_DATA[1]                                                    ;                   ;         ;
2415
; LCD_DATA[2]                                                    ;                   ;         ;
2416
; LCD_DATA[3]                                                    ;                   ;         ;
2417
; LCD_DATA[4]                                                    ;                   ;         ;
2418
; LCD_DATA[5]                                                    ;                   ;         ;
2419
; LCD_DATA[6]                                                    ;                   ;         ;
2420
; LCD_DATA[7]                                                    ;                   ;         ;
2421
; SW[17]                                                         ;                   ;         ;
2422
;      - T80se:z80_inst|DI_Reg[0]                                ; 1                 ; 6       ;
2423
;      - T80se:z80_inst|T80:u0|XY_Ind                            ; 1                 ; 6       ;
2424
;      - T80se:z80_inst|T80:u0|XY_State[0]                       ; 1                 ; 6       ;
2425
;      - T80se:z80_inst|T80:u0|XY_State[1]                       ; 1                 ; 6       ;
2426
;      - T80se:z80_inst|T80:u0|ISet[0]                           ; 1                 ; 6       ;
2427
;      - T80se:z80_inst|T80:u0|ISet[1]                           ; 1                 ; 6       ;
2428
;      - T80se:z80_inst|T80:u0|IR[0]                             ; 1                 ; 6       ;
2429
;      - T80se:z80_inst|T80:u0|IR[1]                             ; 1                 ; 6       ;
2430
;      - T80se:z80_inst|T80:u0|IR[2]                             ; 1                 ; 6       ;
2431
;      - T80se:z80_inst|T80:u0|IR[3]                             ; 1                 ; 6       ;
2432
;      - T80se:z80_inst|T80:u0|IR[4]                             ; 1                 ; 6       ;
2433
;      - T80se:z80_inst|T80:u0|IR[5]                             ; 1                 ; 6       ;
2434
;      - T80se:z80_inst|T80:u0|IR[6]                             ; 1                 ; 6       ;
2435
;      - T80se:z80_inst|T80:u0|IR[7]                             ; 1                 ; 6       ;
2436
;      - T80se:z80_inst|T80:u0|TmpAddr[0]                        ; 1                 ; 6       ;
2437
;      - T80se:z80_inst|T80:u0|A[0]                              ; 1                 ; 6       ;
2438
;      - T80se:z80_inst|T80:u0|A[1]                              ; 1                 ; 6       ;
2439
;      - T80se:z80_inst|T80:u0|A[2]                              ; 1                 ; 6       ;
2440
;      - T80se:z80_inst|T80:u0|A[3]                              ; 1                 ; 6       ;
2441
;      - T80se:z80_inst|T80:u0|A[4]                              ; 1                 ; 6       ;
2442
;      - T80se:z80_inst|T80:u0|A[5]                              ; 1                 ; 6       ;
2443
;      - T80se:z80_inst|T80:u0|A[6]                              ; 1                 ; 6       ;
2444
;      - T80se:z80_inst|T80:u0|A[7]                              ; 1                 ; 6       ;
2445
;      - T80se:z80_inst|T80:u0|A[8]                              ; 1                 ; 6       ;
2446
;      - T80se:z80_inst|T80:u0|A[9]                              ; 1                 ; 6       ;
2447
;      - T80se:z80_inst|T80:u0|A[10]                             ; 1                 ; 6       ;
2448
;      - T80se:z80_inst|T80:u0|A[11]                             ; 1                 ; 6       ;
2449
;      - T80se:z80_inst|T80:u0|A[12]                             ; 1                 ; 6       ;
2450
;      - T80se:z80_inst|T80:u0|A[13]                             ; 1                 ; 6       ;
2451
;      - T80se:z80_inst|T80:u0|A[14]                             ; 1                 ; 6       ;
2452
;      - T80se:z80_inst|T80:u0|A[15]                             ; 1                 ; 6       ;
2453
;      - T80se:z80_inst|T80:u0|PC[0]                             ; 1                 ; 6       ;
2454
;      - T80se:z80_inst|T80:u0|TState[2]                         ; 1                 ; 6       ;
2455
;      - T80se:z80_inst|T80:u0|MCycle[1]                         ; 1                 ; 6       ;
2456
;      - T80se:z80_inst|T80:u0|MCycle[2]                         ; 1                 ; 6       ;
2457
;      - LCD:lcd_inst|LCD_RS                                     ; 1                 ; 6       ;
2458
;      - ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR         ; 1                 ; 6       ;
2459
;      - ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set         ; 1                 ; 6       ;
2460
;      - T80se:z80_inst|T80:u0|R[0]                              ; 1                 ; 6       ;
2461
;      - T80se:z80_inst|T80:u0|R[1]                              ; 1                 ; 6       ;
2462
;      - T80se:z80_inst|T80:u0|R[2]                              ; 1                 ; 6       ;
2463
;      - T80se:z80_inst|T80:u0|R[3]                              ; 1                 ; 6       ;
2464
;      - T80se:z80_inst|T80:u0|R[4]                              ; 1                 ; 6       ;
2465
;      - T80se:z80_inst|T80:u0|R[5]                              ; 1                 ; 6       ;
2466
;      - T80se:z80_inst|T80:u0|R[6]                              ; 1                 ; 6       ;
2467
;      - T80se:z80_inst|T80:u0|DO[0]                             ; 1                 ; 6       ;
2468
;      - T80se:z80_inst|T80:u0|DO[1]                             ; 1                 ; 6       ;
2469
;      - T80se:z80_inst|T80:u0|DO[2]                             ; 1                 ; 6       ;
2470
;      - T80se:z80_inst|T80:u0|DO[3]                             ; 1                 ; 6       ;
2471
;      - T80se:z80_inst|T80:u0|DO[4]                             ; 1                 ; 6       ;
2472
;      - T80se:z80_inst|T80:u0|DO[5]                             ; 1                 ; 6       ;
2473
;      - T80se:z80_inst|T80:u0|DO[6]                             ; 1                 ; 6       ;
2474
;      - T80se:z80_inst|T80:u0|DO[7]                             ; 1                 ; 6       ;
2475
;      - T80se:z80_inst|T80:u0|PC[8]                             ; 1                 ; 6       ;
2476
;      - T80se:z80_inst|T80:u0|PC[9]                             ; 1                 ; 6       ;
2477
;      - T80se:z80_inst|T80:u0|PC[10]                            ; 1                 ; 6       ;
2478
;      - T80se:z80_inst|T80:u0|PC[11]                            ; 1                 ; 6       ;
2479
;      - T80se:z80_inst|T80:u0|PC[12]                            ; 1                 ; 6       ;
2480
;      - T80se:z80_inst|T80:u0|PC[13]                            ; 1                 ; 6       ;
2481
;      - T80se:z80_inst|T80:u0|PC[14]                            ; 1                 ; 6       ;
2482
;      - T80se:z80_inst|T80:u0|PC[15]                            ; 1                 ; 6       ;
2483
;      - T80se:z80_inst|T80:u0|MCycles[0]                        ; 1                 ; 6       ;
2484
;      - T80se:z80_inst|T80:u0|MCycles[1]                        ; 1                 ; 6       ;
2485
;      - T80se:z80_inst|WR_n                                     ; 1                 ; 6       ;
2486
;      - T80se:z80_inst|MREQ_n                                   ; 1                 ; 6       ;
2487
;      - T80se:z80_inst|RD_n                                     ; 1                 ; 6       ;
2488
;      - LCD:lcd_inst|LCD_EN                                     ; 1                 ; 6       ;
2489
;      - T80se:z80_inst|IORQ_n                                   ; 1                 ; 6       ;
2490
;      - T80se:z80_inst|T80:u0|MCycle[0]                         ; 1                 ; 6       ;
2491
;      - T80se:z80_inst|T80:u0|F[6]                              ; 1                 ; 6       ;
2492
;      - T80se:z80_inst|T80:u0|F[0]                              ; 1                 ; 6       ;
2493
;      - T80se:z80_inst|T80:u0|F[2]                              ; 1                 ; 6       ;
2494
;      - T80se:z80_inst|T80:u0|F[7]                              ; 1                 ; 6       ;
2495
;      - T80se:z80_inst|T80:u0|SP[0]                             ; 1                 ; 6       ;
2496
;      - T80se:z80_inst|T80:u0|TState[1]                         ; 1                 ; 6       ;
2497
;      - T80se:z80_inst|T80:u0|TState[0]                         ; 1                 ; 6       ;
2498
;      - T80se:z80_inst|T80:u0|TmpAddr[1]                        ; 1                 ; 6       ;
2499
;      - T80se:z80_inst|T80:u0|SP[1]                             ; 1                 ; 6       ;
2500
;      - T80se:z80_inst|DI_Reg[1]                                ; 1                 ; 6       ;
2501
;      - T80se:z80_inst|T80:u0|PC[1]                             ; 1                 ; 6       ;
2502
;      - T80se:z80_inst|T80:u0|TmpAddr[2]                        ; 1                 ; 6       ;
2503
;      - T80se:z80_inst|T80:u0|SP[2]                             ; 1                 ; 6       ;
2504
;      - T80se:z80_inst|DI_Reg[2]                                ; 1                 ; 6       ;
2505
;      - T80se:z80_inst|T80:u0|PC[2]                             ; 1                 ; 6       ;
2506
;      - T80se:z80_inst|T80:u0|TmpAddr[3]                        ; 1                 ; 6       ;
2507
;      - T80se:z80_inst|T80:u0|SP[3]                             ; 1                 ; 6       ;
2508
;      - T80se:z80_inst|DI_Reg[3]                                ; 1                 ; 6       ;
2509
;      - T80se:z80_inst|T80:u0|PC[3]                             ; 1                 ; 6       ;
2510
;      - T80se:z80_inst|T80:u0|TmpAddr[4]                        ; 1                 ; 6       ;
2511
;      - T80se:z80_inst|T80:u0|SP[4]                             ; 1                 ; 6       ;
2512
;      - T80se:z80_inst|DI_Reg[4]                                ; 1                 ; 6       ;
2513
;      - T80se:z80_inst|T80:u0|PC[4]                             ; 1                 ; 6       ;
2514
;      - T80se:z80_inst|T80:u0|TmpAddr[5]                        ; 1                 ; 6       ;
2515
;      - T80se:z80_inst|T80:u0|SP[5]                             ; 1                 ; 6       ;
2516
;      - T80se:z80_inst|DI_Reg[5]                                ; 1                 ; 6       ;
2517
;      - T80se:z80_inst|T80:u0|PC[5]                             ; 1                 ; 6       ;
2518
;      - T80se:z80_inst|T80:u0|TmpAddr[6]                        ; 1                 ; 6       ;
2519
;      - T80se:z80_inst|T80:u0|SP[6]                             ; 1                 ; 6       ;
2520
;      - T80se:z80_inst|DI_Reg[6]                                ; 1                 ; 6       ;
2521
;      - T80se:z80_inst|T80:u0|PC[6]                             ; 1                 ; 6       ;
2522
;      - T80se:z80_inst|T80:u0|TmpAddr[7]                        ; 1                 ; 6       ;
2523
;      - T80se:z80_inst|T80:u0|SP[7]                             ; 1                 ; 6       ;
2524
;      - T80se:z80_inst|DI_Reg[7]                                ; 1                 ; 6       ;
2525
;      - T80se:z80_inst|T80:u0|PC[7]                             ; 1                 ; 6       ;
2526
;      - T80se:z80_inst|T80:u0|R[7]                              ; 1                 ; 6       ;
2527
;      - T80se:z80_inst|T80:u0|TmpAddr[8]                        ; 1                 ; 6       ;
2528
;      - T80se:z80_inst|T80:u0|SP[8]                             ; 1                 ; 6       ;
2529
;      - T80se:z80_inst|T80:u0|ACC[0]                            ; 1                 ; 6       ;
2530
;      - T80se:z80_inst|T80:u0|I[0]                              ; 1                 ; 6       ;
2531
;      - T80se:z80_inst|T80:u0|TmpAddr[9]                        ; 1                 ; 6       ;
2532
;      - T80se:z80_inst|T80:u0|SP[9]                             ; 1                 ; 6       ;
2533
;      - T80se:z80_inst|T80:u0|ACC[1]                            ; 1                 ; 6       ;
2534
;      - T80se:z80_inst|T80:u0|I[1]                              ; 1                 ; 6       ;
2535
;      - T80se:z80_inst|T80:u0|TmpAddr[10]                       ; 1                 ; 6       ;
2536
;      - T80se:z80_inst|T80:u0|SP[10]                            ; 1                 ; 6       ;
2537
;      - T80se:z80_inst|T80:u0|ACC[2]                            ; 1                 ; 6       ;
2538
;      - T80se:z80_inst|T80:u0|I[2]                              ; 1                 ; 6       ;
2539
;      - T80se:z80_inst|T80:u0|TmpAddr[11]                       ; 1                 ; 6       ;
2540
;      - T80se:z80_inst|T80:u0|SP[11]                            ; 1                 ; 6       ;
2541
;      - T80se:z80_inst|T80:u0|ACC[3]                            ; 1                 ; 6       ;
2542
;      - T80se:z80_inst|T80:u0|I[3]                              ; 1                 ; 6       ;
2543
;      - T80se:z80_inst|T80:u0|TmpAddr[12]                       ; 1                 ; 6       ;
2544
;      - T80se:z80_inst|T80:u0|SP[12]                            ; 1                 ; 6       ;
2545
;      - T80se:z80_inst|T80:u0|ACC[4]                            ; 1                 ; 6       ;
2546
;      - T80se:z80_inst|T80:u0|I[4]                              ; 1                 ; 6       ;
2547
;      - T80se:z80_inst|T80:u0|TmpAddr[13]                       ; 1                 ; 6       ;
2548
;      - T80se:z80_inst|T80:u0|SP[13]                            ; 1                 ; 6       ;
2549
;      - T80se:z80_inst|T80:u0|ACC[5]                            ; 1                 ; 6       ;
2550
;      - T80se:z80_inst|T80:u0|I[5]                              ; 1                 ; 6       ;
2551
;      - T80se:z80_inst|T80:u0|TmpAddr[14]                       ; 1                 ; 6       ;
2552
;      - T80se:z80_inst|T80:u0|SP[14]                            ; 1                 ; 6       ;
2553
;      - T80se:z80_inst|T80:u0|ACC[6]                            ; 1                 ; 6       ;
2554
;      - T80se:z80_inst|T80:u0|I[6]                              ; 1                 ; 6       ;
2555
;      - T80se:z80_inst|T80:u0|TmpAddr[15]                       ; 1                 ; 6       ;
2556
;      - T80se:z80_inst|T80:u0|SP[15]                            ; 1                 ; 6       ;
2557
;      - T80se:z80_inst|T80:u0|ACC[7]                            ; 1                 ; 6       ;
2558
;      - T80se:z80_inst|T80:u0|I[7]                              ; 1                 ; 6       ;
2559
;      - LCD:lcd_inst|state.print_string                         ; 1                 ; 6       ;
2560
;      - LCD:lcd_inst|state.drop_LCD_EN                          ; 1                 ; 6       ;
2561
;      - LCD:lcd_inst|state.hold                                 ; 1                 ; 6       ;
2562
;      - LCD:lcd_inst|char_count_sig[2]~0                        ; 1                 ; 6       ;
2563
;      - T80se:z80_inst|T80:u0|ALU_Op_r[0]                       ; 1                 ; 6       ;
2564
;      - T80se:z80_inst|T80:u0|ALU_Op_r[1]                       ; 1                 ; 6       ;
2565
;      - T80se:z80_inst|T80:u0|ALU_Op_r[3]                       ; 1                 ; 6       ;
2566
;      - T80se:z80_inst|T80:u0|ALU_Op_r[2]                       ; 1                 ; 6       ;
2567
;      - T80se:z80_inst|T80:u0|Save_ALU_r                        ; 1                 ; 6       ;
2568
;      - T80se:z80_inst|T80:u0|Read_To_Reg_r[0]                  ; 1                 ; 6       ;
2569
;      - T80se:z80_inst|T80:u0|Read_To_Reg_r[4]                  ; 1                 ; 6       ;
2570
;      - T80se:z80_inst|T80:u0|Read_To_Reg_r[2]                  ; 1                 ; 6       ;
2571
;      - T80se:z80_inst|T80:u0|Read_To_Reg_r[1]                  ; 1                 ; 6       ;
2572
;      - T80se:z80_inst|T80:u0|Read_To_Reg_r[3]                  ; 1                 ; 6       ;
2573
;      - T80se:z80_inst|T80:u0|F[1]                              ; 1                 ; 6       ;
2574
;      - T80se:z80_inst|T80:u0|F[4]                              ; 1                 ; 6       ;
2575
;      - T80se:z80_inst|T80:u0|Alternate                         ; 1                 ; 6       ;
2576
;      - T80se:z80_inst|T80:u0|Halt_FF                           ; 1                 ; 6       ;
2577
;      - T80se:z80_inst|T80:u0|No_BTR                            ; 1                 ; 6       ;
2578
;      - T80se:z80_inst|T80:u0|MCycles[2]                        ; 1                 ; 6       ;
2579
;      - T80se:z80_inst|T80:u0|Pre_XY_F_M[1]                     ; 1                 ; 6       ;
2580
;      - T80se:z80_inst|T80:u0|Pre_XY_F_M[0]                     ; 1                 ; 6       ;
2581
;      - T80se:z80_inst|T80:u0|Pre_XY_F_M[2]                     ; 1                 ; 6       ;
2582
;      - T80se:z80_inst|T80:u0|Fp[6]                             ; 1                 ; 6       ;
2583
;      - T80se:z80_inst|T80:u0|Z16_r                             ; 1                 ; 6       ;
2584
;      - T80se:z80_inst|T80:u0|Arith16_r                         ; 1                 ; 6       ;
2585
;      - T80se:z80_inst|T80:u0|Fp[0]                             ; 1                 ; 6       ;
2586
;      - T80se:z80_inst|T80:u0|PreserveC_r                       ; 1                 ; 6       ;
2587
;      - T80se:z80_inst|T80:u0|Fp[2]                             ; 1                 ; 6       ;
2588
;      - T80se:z80_inst|T80:u0|IntE_FF2                          ; 1                 ; 6       ;
2589
;      - T80se:z80_inst|T80:u0|Fp[7]                             ; 1                 ; 6       ;
2590
;      - T80se:z80_inst|T80:u0|BTR_r                             ; 1                 ; 6       ;
2591
;      - T80se:z80_inst|T80:u0|Ap[0]                             ; 1                 ; 6       ;
2592
;      - T80se:z80_inst|T80:u0|Ap[1]                             ; 1                 ; 6       ;
2593
;      - T80se:z80_inst|T80:u0|Ap[2]                             ; 1                 ; 6       ;
2594
;      - T80se:z80_inst|T80:u0|Ap[3]                             ; 1                 ; 6       ;
2595
;      - T80se:z80_inst|T80:u0|Ap[4]                             ; 1                 ; 6       ;
2596
;      - T80se:z80_inst|T80:u0|Ap[5]                             ; 1                 ; 6       ;
2597
;      - T80se:z80_inst|T80:u0|Ap[6]                             ; 1                 ; 6       ;
2598
;      - T80se:z80_inst|T80:u0|Ap[7]                             ; 1                 ; 6       ;
2599
;      - LCD:lcd_inst|next_command.print_string                  ; 1                 ; 6       ;
2600
;      - LCD:lcd_inst|clk_400hz_enable~0                         ; 1                 ; 6       ;
2601
;      - LCD:lcd_inst|data_bus_value[0]                          ; 1                 ; 6       ;
2602
;      - LCD:lcd_inst|data_bus_value[1]                          ; 1                 ; 6       ;
2603
;      - LCD:lcd_inst|data_bus_value[2]                          ; 1                 ; 6       ;
2604
;      - LCD:lcd_inst|data_bus_value[3]                          ; 1                 ; 6       ;
2605
;      - LCD:lcd_inst|data_bus_value[4]                          ; 1                 ; 6       ;
2606
;      - LCD:lcd_inst|data_bus_value[5]                          ; 1                 ; 6       ;
2607
;      - LCD:lcd_inst|data_bus_value[6]                          ; 1                 ; 6       ;
2608
;      - LCD:lcd_inst|data_bus_value[7]                          ; 1                 ; 6       ;
2609
;      - T80se:z80_inst|T80:u0|F[5]                              ; 1                 ; 6       ;
2610
;      - T80se:z80_inst|T80:u0|Fp[1]                             ; 1                 ; 6       ;
2611
;      - T80se:z80_inst|T80:u0|Fp[4]                             ; 1                 ; 6       ;
2612
;      - T80se:z80_inst|T80:u0|F[3]                              ; 1                 ; 6       ;
2613
;      - LCD:lcd_inst|state.mode_set                             ; 1                 ; 6       ;
2614
;      - LCD:lcd_inst|state.line2                                ; 1                 ; 6       ;
2615
;      - LCD:lcd_inst|state.return_home                          ; 1                 ; 6       ;
2616
;      - LCD:lcd_inst|clk_count_400hz[13]~46                     ; 1                 ; 6       ;
2617
;      - LCD:lcd_inst|state.display_clear                        ; 1                 ; 6       ;
2618
;      - LCD:lcd_inst|state.display_on                           ; 1                 ; 6       ;
2619
;      - LCD:lcd_inst|state.reset1                               ; 1                 ; 6       ;
2620
;      - LCD:lcd_inst|state.reset3                               ; 1                 ; 6       ;
2621
;      - LCD:lcd_inst|state.display_off                          ; 1                 ; 6       ;
2622
;      - LCD:lcd_inst|state.func_set                             ; 1                 ; 6       ;
2623
;      - LCD:lcd_inst|state.reset2                               ; 1                 ; 6       ;
2624
;      - T80se:z80_inst|T80:u0|Fp[5]                             ; 1                 ; 6       ;
2625
;      - T80se:z80_inst|T80:u0|Fp[3]                             ; 1                 ; 6       ;
2626
;      - LCD:lcd_inst|next_command.mode_set                      ; 1                 ; 6       ;
2627
;      - LCD:lcd_inst|next_command.line2                         ; 1                 ; 6       ;
2628
;      - LCD:lcd_inst|next_command.return_home                   ; 1                 ; 6       ;
2629
;      - LCD:lcd_inst|next_command.display_clear                 ; 1                 ; 6       ;
2630
;      - LCD:lcd_inst|next_command.display_on                    ; 1                 ; 6       ;
2631
;      - LCD:lcd_inst|next_command.reset3                        ; 1                 ; 6       ;
2632
;      - LCD:lcd_inst|next_command.display_off                   ; 1                 ; 6       ;
2633
;      - LCD:lcd_inst|next_command.func_set                      ; 1                 ; 6       ;
2634
;      - LCD:lcd_inst|next_command.reset2                        ; 1                 ; 6       ;
2635
;      - ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7]~0    ; 1                 ; 6       ;
2636
;      - ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]~0      ; 1                 ; 6       ;
2637
;      - ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT~0           ; 1                 ; 6       ;
2638
;      - ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]~1        ; 1                 ; 6       ;
2639
;      - ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT~2           ; 1                 ; 6       ;
2640
;      - ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT~3           ; 1                 ; 6       ;
2641
;      - ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT~4           ; 1                 ; 6       ;
2642
; CLOCK_50                                                       ;                   ;         ;
2643
; SW[16]                                                         ;                   ;         ;
2644
;      - Clk_Z80                                                 ; 0                 ; 0       ;
2645
; SW[1]                                                          ;                   ;         ;
2646
;      - DI_CPU[1]~31                                            ; 0                 ; 6       ;
2647
; SW[9]                                                          ;                   ;         ;
2648
;      - DI_CPU[1]~30                                            ; 0                 ; 6       ;
2649
; KEY[1]                                                         ;                   ;         ;
2650
;      - DI_CPU[1]~30                                            ; 0                 ; 6       ;
2651
; SW[8]                                                          ;                   ;         ;
2652
;      - DI_CPU[0]~49                                            ; 1                 ; 6       ;
2653
; SW[0]                                                          ;                   ;         ;
2654
;      - DI_CPU[0]~48                                            ; 0                 ; 6       ;
2655
; KEY[0]                                                         ;                   ;         ;
2656
;      - DI_CPU[0]~48                                            ; 1                 ; 6       ;
2657
; SW[15]                                                         ;                   ;         ;
2658
;      - DI_CPU[7]~61                                            ; 0                 ; 6       ;
2659
; SW[7]                                                          ;                   ;         ;
2660
;      - DI_CPU[7]~61                                            ; 0                 ; 6       ;
2661
; SW[14]                                                         ;                   ;         ;
2662
;      - DI_CPU[6]~72                                            ; 0                 ; 6       ;
2663
; SW[6]                                                          ;                   ;         ;
2664
;      - DI_CPU[6]~72                                            ; 0                 ; 6       ;
2665
; SW[10]                                                         ;                   ;         ;
2666
;      - DI_CPU[2]~77                                            ; 0                 ; 6       ;
2667
; SW[2]                                                          ;                   ;         ;
2668
;      - DI_CPU[2]~76                                            ; 1                 ; 6       ;
2669
; KEY[2]                                                         ;                   ;         ;
2670
;      - DI_CPU[2]~76                                            ; 0                 ; 6       ;
2671
; SW[13]                                                         ;                   ;         ;
2672
;      - DI_CPU[5]~86                                            ; 0                 ; 6       ;
2673
; SW[5]                                                          ;                   ;         ;
2674
;      - DI_CPU[5]~86                                            ; 0                 ; 6       ;
2675
; SW[12]                                                         ;                   ;         ;
2676
;      - DI_CPU[4]~95                                            ; 0                 ; 6       ;
2677
; SW[4]                                                          ;                   ;         ;
2678
;      - DI_CPU[4]~95                                            ; 0                 ; 6       ;
2679
; SW[3]                                                          ;                   ;         ;
2680
;      - DI_CPU[3]~100                                           ; 0                 ; 6       ;
2681
; SW[11]                                                         ;                   ;         ;
2682
;      - DI_CPU[3]~99                                            ; 0                 ; 6       ;
2683
; KEY[3]                                                         ;                   ;         ;
2684
;      - DI_CPU[3]~99                                            ; 0                 ; 6       ;
2685
+----------------------------------------------------------------+-------------------+---------+
2686
 
2687
 
2688
+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
2689
; Control Signals                                                                                                                                                                                        ;
2690
+-------------------------------------------------------------+--------------------+---------+----------------------------+--------+----------------------+------------------+---------------------------+
2691
; Name                                                        ; Location           ; Fan-Out ; Usage                      ; Global ; Global Resource Used ; Global Line Name ; Enable Signal Source Name ;
2692
+-------------------------------------------------------------+--------------------+---------+----------------------------+--------+----------------------+------------------+---------------------------+
2693
; CLOCK_50                                                    ; PIN_Y2             ; 106     ; Clock                      ; yes    ; Global Clock         ; GCLK4            ; --                        ;
2694
; CLOCK_50                                                    ; PIN_Y2             ; 3       ; Clock                      ; no     ; --                   ; --               ; --                        ;
2695
; Clk_Z80                                                     ; LCCOMB_X65_Y33_N18 ; 18      ; Clock                      ; no     ; --                   ; --               ; --                        ;
2696
; Clk_Z80                                                     ; LCCOMB_X65_Y33_N18 ; 403     ; Clock                      ; yes    ; Global Clock         ; GCLK9            ; --                        ;
2697
; Decoder0~17                                                 ; LCCOMB_X60_Y24_N4  ; 8       ; Latch enable               ; yes    ; Global Clock         ; GCLK13           ; --                        ;
2698
; Decoder0~19                                                 ; LCCOMB_X62_Y25_N26 ; 8       ; Latch enable               ; yes    ; Global Clock         ; GCLK0            ; --                        ;
2699
; Decoder0~20                                                 ; LCCOMB_X62_Y25_N12 ; 8       ; Latch enable               ; yes    ; Global Clock         ; GCLK1            ; --                        ;
2700
; Decoder0~21                                                 ; LCCOMB_X60_Y24_N26 ; 8       ; Latch enable               ; yes    ; Global Clock         ; GCLK19           ; --                        ;
2701
; Decoder0~23                                                 ; LCCOMB_X60_Y25_N18 ; 8       ; Latch enable               ; yes    ; Global Clock         ; GCLK14           ; --                        ;
2702
; Decoder0~25                                                 ; LCCOMB_X62_Y25_N10 ; 8       ; Latch enable               ; yes    ; Global Clock         ; GCLK15           ; --                        ;
2703
; Decoder0~26                                                 ; LCCOMB_X60_Y25_N14 ; 8       ; Latch enable               ; yes    ; Global Clock         ; GCLK3            ; --                        ;
2704
; Decoder0~27                                                 ; LCCOMB_X62_Y25_N30 ; 8       ; Latch enable               ; yes    ; Global Clock         ; GCLK17           ; --                        ;
2705
; Decoder0~29                                                 ; LCCOMB_X60_Y22_N4  ; 8       ; Latch enable               ; yes    ; Global Clock         ; GCLK12           ; --                        ;
2706
; Decoder0~31                                                 ; LCCOMB_X62_Y25_N24 ; 8       ; Latch enable               ; yes    ; Global Clock         ; GCLK8            ; --                        ;
2707
; Decoder0~32                                                 ; LCCOMB_X60_Y22_N16 ; 8       ; Latch enable               ; yes    ; Global Clock         ; GCLK2            ; --                        ;
2708
; Decoder0~33                                                 ; LCCOMB_X62_Y25_N22 ; 8       ; Latch enable               ; yes    ; Global Clock         ; GCLK16           ; --                        ;
2709
; Decoder0~35                                                 ; LCCOMB_X60_Y23_N14 ; 8       ; Latch enable               ; yes    ; Global Clock         ; GCLK18           ; --                        ;
2710
; Decoder0~37                                                 ; LCCOMB_X62_Y26_N12 ; 8       ; Latch enable               ; yes    ; Global Clock         ; GCLK7            ; --                        ;
2711
; Decoder0~38                                                 ; LCCOMB_X62_Y26_N20 ; 8       ; Latch enable               ; yes    ; Global Clock         ; GCLK5            ; --                        ;
2712
; Decoder0~39                                                 ; LCCOMB_X60_Y23_N20 ; 8       ; Latch enable               ; yes    ; Global Clock         ; GCLK11           ; --                        ;
2713
; Decoder0~40                                                 ; LCCOMB_X58_Y23_N4  ; 8       ; Latch enable               ; no     ; --                   ; --               ; --                        ;
2714
; Decoder0~41                                                 ; LCCOMB_X60_Y24_N30 ; 8       ; Latch enable               ; no     ; --                   ; --               ; --                        ;
2715
; Decoder0~42                                                 ; LCCOMB_X60_Y23_N4  ; 8       ; Latch enable               ; no     ; --                   ; --               ; --                        ;
2716
; Decoder0~43                                                 ; LCCOMB_X60_Y23_N6  ; 8       ; Latch enable               ; no     ; --                   ; --               ; --                        ;
2717
; Decoder0~44                                                 ; LCCOMB_X59_Y25_N26 ; 8       ; Latch enable               ; no     ; --                   ; --               ; --                        ;
2718
; Decoder0~45                                                 ; LCCOMB_X60_Y25_N28 ; 8       ; Latch enable               ; no     ; --                   ; --               ; --                        ;
2719
; Decoder0~46                                                 ; LCCOMB_X59_Y25_N22 ; 8       ; Latch enable               ; no     ; --                   ; --               ; --                        ;
2720
; Decoder0~47                                                 ; LCCOMB_X62_Y26_N8  ; 8       ; Latch enable               ; no     ; --                   ; --               ; --                        ;
2721
; Decoder0~48                                                 ; LCCOMB_X62_Y25_N4  ; 8       ; Latch enable               ; no     ; --                   ; --               ; --                        ;
2722
; Decoder0~49                                                 ; LCCOMB_X60_Y25_N22 ; 8       ; Latch enable               ; no     ; --                   ; --               ; --                        ;
2723
; Decoder0~50                                                 ; LCCOMB_X60_Y22_N14 ; 8       ; Latch enable               ; no     ; --                   ; --               ; --                        ;
2724
; Decoder0~51                                                 ; LCCOMB_X62_Y26_N30 ; 8       ; Latch enable               ; no     ; --                   ; --               ; --                        ;
2725
; Decoder0~52                                                 ; LCCOMB_X62_Y22_N30 ; 8       ; Latch enable               ; no     ; --                   ; --               ; --                        ;
2726
; Decoder0~53                                                 ; LCCOMB_X60_Y24_N22 ; 8       ; Latch enable               ; no     ; --                   ; --               ; --                        ;
2727
; Decoder0~54                                                 ; LCCOMB_X61_Y21_N30 ; 8       ; Latch enable               ; no     ; --                   ; --               ; --                        ;
2728
; Decoder0~55                                                 ; LCCOMB_X60_Y23_N22 ; 8       ; Latch enable               ; no     ; --                   ; --               ; --                        ;
2729
; LCD:lcd_inst|char_count_sig[2]~0                            ; LCCOMB_X62_Y23_N24 ; 6       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
2730
; LCD:lcd_inst|clk_400hz_enable                               ; FF_X65_Y23_N1      ; 41      ; Clock, Clock enable        ; no     ; --                   ; --               ; --                        ;
2731
; LCD:lcd_inst|clk_count_400hz[13]~46                         ; LCCOMB_X56_Y23_N26 ; 20      ; Sync. clear                ; no     ; --                   ; --               ; --                        ;
2732
; LCD:lcd_inst|data_bus_value[5]~0                            ; LCCOMB_X66_Y23_N2  ; 2       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
2733
; SRAM_DQ~8                                                   ; LCCOMB_X65_Y34_N20 ; 9       ; Output enable              ; no     ; --                   ; --               ; --                        ;
2734
; SW[17]                                                      ; PIN_Y23            ; 220     ; Async. clear, Sync. clear  ; no     ; --                   ; --               ; --                        ;
2735
; T80se:z80_inst|Equal3~0                                     ; LCCOMB_X57_Y32_N30 ; 25      ; Clock enable               ; no     ; --                   ; --               ; --                        ;
2736
; T80se:z80_inst|T80:u0|ACC[0]~15                             ; LCCOMB_X62_Y31_N0  ; 8       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
2737
; T80se:z80_inst|T80:u0|A[13]                                 ; FF_X61_Y30_N31     ; 21      ; Clock enable               ; no     ; --                   ; --               ; --                        ;
2738
; T80se:z80_inst|T80:u0|A[7]~14                               ; LCCOMB_X61_Y29_N0  ; 16      ; Clock enable               ; no     ; --                   ; --               ; --                        ;
2739
; T80se:z80_inst|T80:u0|BusA[2]~1                             ; LCCOMB_X60_Y35_N30 ; 8       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
2740
; T80se:z80_inst|T80:u0|DO[3]~15                              ; LCCOMB_X59_Y31_N24 ; 8       ; Sync. load                 ; no     ; --                   ; --               ; --                        ;
2741
; T80se:z80_inst|T80:u0|F[3]~70                               ; LCCOMB_X61_Y25_N18 ; 2       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
2742
; T80se:z80_inst|T80:u0|F~55                                  ; LCCOMB_X62_Y35_N18 ; 16      ; Clock enable               ; no     ; --                   ; --               ; --                        ;
2743
; T80se:z80_inst|T80:u0|IR[0]~0                               ; LCCOMB_X57_Y33_N0  ; 8       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
2744
; T80se:z80_inst|T80:u0|ISet[1]                               ; FF_X58_Y35_N1      ; 73      ; Sync. load                 ; no     ; --                   ; --               ; --                        ;
2745
; T80se:z80_inst|T80:u0|ISet[1]~4                             ; LCCOMB_X57_Y33_N30 ; 2       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
2746
; T80se:z80_inst|T80:u0|I[0]~0                                ; LCCOMB_X62_Y32_N30 ; 8       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
2747
; T80se:z80_inst|T80:u0|PC[6]~24                              ; LCCOMB_X65_Y32_N6  ; 15      ; Sync. load                 ; no     ; --                   ; --               ; --                        ;
2748
; T80se:z80_inst|T80:u0|PC[6]~28                              ; LCCOMB_X65_Y32_N2  ; 15      ; Clock enable               ; no     ; --                   ; --               ; --                        ;
2749
; T80se:z80_inst|T80:u0|Pre_XY_F_M[2]~1                       ; LCCOMB_X59_Y32_N14 ; 3       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
2750
; T80se:z80_inst|T80:u0|R[1]~11                               ; LCCOMB_X62_Y32_N10 ; 7       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
2751
; T80se:z80_inst|T80:u0|R[1]~9                                ; LCCOMB_X62_Y32_N14 ; 7       ; Sync. load                 ; no     ; --                   ; --               ; --                        ;
2752
; T80se:z80_inst|T80:u0|SP[5]~9                               ; LCCOMB_X66_Y31_N22 ; 8       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
2753
; T80se:z80_inst|T80:u0|SP[8]~28                              ; LCCOMB_X66_Y31_N18 ; 8       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
2754
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][0]~6            ; LCCOMB_X59_Y31_N22 ; 8       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
2755
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][0]~5            ; LCCOMB_X59_Y31_N12 ; 8       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
2756
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][0]~4            ; LCCOMB_X59_Y31_N10 ; 8       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
2757
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][0]~7            ; LCCOMB_X59_Y28_N16 ; 8       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
2758
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][0]~2            ; LCCOMB_X59_Y31_N0  ; 8       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
2759
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][0]~0            ; LCCOMB_X59_Y31_N6  ; 8       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
2760
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][0]~1            ; LCCOMB_X54_Y32_N6  ; 8       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
2761
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][0]~3            ; LCCOMB_X54_Y32_N16 ; 8       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
2762
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[0][0]~6            ; LCCOMB_X59_Y31_N8  ; 8       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
2763
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[1][0]~5            ; LCCOMB_X59_Y31_N30 ; 8       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
2764
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[2][0]~4            ; LCCOMB_X55_Y29_N28 ; 8       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
2765
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[3][0]~7            ; LCCOMB_X59_Y31_N2  ; 8       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
2766
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[4][0]~2            ; LCCOMB_X59_Y31_N26 ; 8       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
2767
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[5][0]~0            ; LCCOMB_X59_Y31_N14 ; 8       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
2768
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[6][0]~1            ; LCCOMB_X59_Y31_N16 ; 8       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
2769
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[7][0]~3            ; LCCOMB_X59_Y31_N28 ; 8       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
2770
; T80se:z80_inst|T80:u0|TmpAddr[0]~31                         ; LCCOMB_X63_Y29_N16 ; 8       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
2771
; T80se:z80_inst|T80:u0|TmpAddr[8]~43                         ; LCCOMB_X62_Y30_N24 ; 8       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
2772
; T80se:z80_inst|T80:u0|XY_State[1]~1                         ; LCCOMB_X57_Y33_N28 ; 2       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
2773
; \pinout_process:LEDG_sig[7]~0                               ; LCCOMB_X65_Y27_N8  ; 8       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
2774
; \pinout_process:LEDR_sig[15]~0                              ; LCCOMB_X65_Y35_N30 ; 8       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
2775
; \pinout_process:LEDR_sig[2]~0                               ; LCCOMB_X65_Y35_N20 ; 8       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
2776
; \pinout_process:NUMBER0_sig[3]~0                            ; LCCOMB_X68_Y34_N20 ; 8       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
2777
; \pinout_process:NUMBER2_sig[3]~1                            ; LCCOMB_X65_Y27_N4  ; 8       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
2778
; \pinout_process:NUMBER4_sig[3]~0                            ; LCCOMB_X65_Y27_N22 ; 8       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
2779
; \pinout_process:NUMBER6_sig[3]~0                            ; LCCOMB_X66_Y1_N28  ; 8       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
2780
; clk_div:clkdiv_inst|LessThan1~0                             ; LCCOMB_X53_Y70_N26 ; 5       ; Sync. clear                ; no     ; --                   ; --               ; --                        ;
2781
; clk_div:clkdiv_inst|clock_100Hz                             ; FF_X53_Y43_N25     ; 2       ; Clock                      ; no     ; --                   ; --               ; --                        ;
2782
; clk_div:clkdiv_inst|clock_100Khz_int                        ; FF_X54_Y70_N27     ; 5       ; Clock                      ; no     ; --                   ; --               ; --                        ;
2783
; clk_div:clkdiv_inst|clock_10Khz_int                         ; FF_X53_Y47_N31     ; 5       ; Clock                      ; no     ; --                   ; --               ; --                        ;
2784
; clk_div:clkdiv_inst|clock_1Khz_int                          ; FF_X53_Y47_N21     ; 5       ; Clock                      ; no     ; --                   ; --               ; --                        ;
2785
; clk_div:clkdiv_inst|clock_1Mhz_int                          ; FF_X53_Y70_N7      ; 4       ; Clock                      ; no     ; --                   ; --               ; --                        ;
2786
; clk_div:clkdiv_inst|clock_25MHz                             ; FF_X53_Y70_N29     ; 77      ; Clock                      ; yes    ; Global Clock         ; GCLK10           ; --                        ;
2787
; clk_div:clkdiv_inst|clock_25Mhz_int                         ; FF_X53_Y70_N25     ; 8       ; Clock                      ; no     ; --                   ; --               ; --                        ;
2788
; clk_div:clkdiv_inst|count_10Mhz[0]                          ; FF_X68_Y33_N21     ; 13      ; Clock enable               ; no     ; --                   ; --               ; --                        ;
2789
; cram_wea~0                                                  ; LCCOMB_X61_Y28_N24 ; 2       ; Clock enable, Write enable ; no     ; --                   ; --               ; --                        ;
2790
; ps2_ascii_reg1[0]~2                                         ; LCCOMB_X55_Y39_N22 ; 8       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
2791
; ps2_read                                                    ; FF_X55_Y39_N25     ; 10      ; Async. clear               ; no     ; --                   ; --               ; --                        ;
2792
; ps2kbd:ps2_kbd_inst|Equal0~2                                ; LCCOMB_X53_Y40_N28 ; 2       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
2793
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]~1            ; LCCOMB_X53_Y41_N24 ; 4       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
2794
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]~0          ; LCCOMB_X54_Y41_N18 ; 9       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
2795
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; FF_X68_Y33_N25     ; 23      ; Clock                      ; yes    ; Global Clock         ; GCLK6            ; --                        ;
2796
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set             ; FF_X53_Y41_N23     ; 2       ; Clock                      ; no     ; --                   ; --               ; --                        ;
2797
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7]~0        ; LCCOMB_X53_Y41_N28 ; 8       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
2798
; video:video_inst|VGA_SYNC:vga_sync_inst|LessThan5~0         ; LCCOMB_X48_Y35_N2  ; 11      ; Clock enable               ; no     ; --                   ; --               ; --                        ;
2799
; video:video_inst|VGA_SYNC:vga_sync_inst|LessThan6~2         ; LCCOMB_X47_Y35_N12 ; 10      ; Clock enable               ; no     ; --                   ; --               ; --                        ;
2800
; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[2]~1        ; LCCOMB_X45_Y35_N28 ; 10      ; Clock enable               ; no     ; --                   ; --               ; --                        ;
2801
; vram_wea~3                                                  ; LCCOMB_X61_Y28_N22 ; 8       ; Clock enable, Write enable ; no     ; --                   ; --               ; --                        ;
2802
+-------------------------------------------------------------+--------------------+---------+----------------------------+--------+----------------------+------------------+---------------------------+
2803
 
2804
 
2805
+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
2806
; Global & Other Fast Signals                                                                                                                                                                             ;
2807
+-------------------------------------------------------------+--------------------+---------+--------------------------------------+----------------------+------------------+---------------------------+
2808
; Name                                                        ; Location           ; Fan-Out ; Fan-Out Using Intentional Clock Skew ; Global Resource Used ; Global Line Name ; Enable Signal Source Name ;
2809
+-------------------------------------------------------------+--------------------+---------+--------------------------------------+----------------------+------------------+---------------------------+
2810
; CLOCK_50                                                    ; PIN_Y2             ; 106     ; 0                                    ; Global Clock         ; GCLK4            ; --                        ;
2811
; Clk_Z80                                                     ; LCCOMB_X65_Y33_N18 ; 403     ; 0                                    ; Global Clock         ; GCLK9            ; --                        ;
2812
; Decoder0~17                                                 ; LCCOMB_X60_Y24_N4  ; 8       ; 0                                    ; Global Clock         ; GCLK13           ; --                        ;
2813
; Decoder0~19                                                 ; LCCOMB_X62_Y25_N26 ; 8       ; 0                                    ; Global Clock         ; GCLK0            ; --                        ;
2814
; Decoder0~20                                                 ; LCCOMB_X62_Y25_N12 ; 8       ; 0                                    ; Global Clock         ; GCLK1            ; --                        ;
2815
; Decoder0~21                                                 ; LCCOMB_X60_Y24_N26 ; 8       ; 0                                    ; Global Clock         ; GCLK19           ; --                        ;
2816
; Decoder0~23                                                 ; LCCOMB_X60_Y25_N18 ; 8       ; 0                                    ; Global Clock         ; GCLK14           ; --                        ;
2817
; Decoder0~25                                                 ; LCCOMB_X62_Y25_N10 ; 8       ; 0                                    ; Global Clock         ; GCLK15           ; --                        ;
2818
; Decoder0~26                                                 ; LCCOMB_X60_Y25_N14 ; 8       ; 0                                    ; Global Clock         ; GCLK3            ; --                        ;
2819
; Decoder0~27                                                 ; LCCOMB_X62_Y25_N30 ; 8       ; 0                                    ; Global Clock         ; GCLK17           ; --                        ;
2820
; Decoder0~29                                                 ; LCCOMB_X60_Y22_N4  ; 8       ; 0                                    ; Global Clock         ; GCLK12           ; --                        ;
2821
; Decoder0~31                                                 ; LCCOMB_X62_Y25_N24 ; 8       ; 0                                    ; Global Clock         ; GCLK8            ; --                        ;
2822
; Decoder0~32                                                 ; LCCOMB_X60_Y22_N16 ; 8       ; 0                                    ; Global Clock         ; GCLK2            ; --                        ;
2823
; Decoder0~33                                                 ; LCCOMB_X62_Y25_N22 ; 8       ; 0                                    ; Global Clock         ; GCLK16           ; --                        ;
2824
; Decoder0~35                                                 ; LCCOMB_X60_Y23_N14 ; 8       ; 0                                    ; Global Clock         ; GCLK18           ; --                        ;
2825
; Decoder0~37                                                 ; LCCOMB_X62_Y26_N12 ; 8       ; 0                                    ; Global Clock         ; GCLK7            ; --                        ;
2826
; Decoder0~38                                                 ; LCCOMB_X62_Y26_N20 ; 8       ; 0                                    ; Global Clock         ; GCLK5            ; --                        ;
2827
; Decoder0~39                                                 ; LCCOMB_X60_Y23_N20 ; 8       ; 0                                    ; Global Clock         ; GCLK11           ; --                        ;
2828
; clk_div:clkdiv_inst|clock_25MHz                             ; FF_X53_Y70_N29     ; 77      ; 0                                    ; Global Clock         ; GCLK10           ; --                        ;
2829
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; FF_X68_Y33_N25     ; 23      ; 0                                    ; Global Clock         ; GCLK6            ; --                        ;
2830
+-------------------------------------------------------------+--------------------+---------+--------------------------------------+----------------------+------------------+---------------------------+
2831
 
2832
 
2833
+-----------------------------------------------------------------------+
2834
; Non-Global High Fan-Out Signals                                       ;
2835
+-------------------------------------------------------------+---------+
2836
; Name                                                        ; Fan-Out ;
2837
+-------------------------------------------------------------+---------+
2838
; T80se:z80_inst|T80:u0|IR[0]                                 ; 221     ;
2839
; SW[17]~input                                                ; 220     ;
2840
; T80se:z80_inst|T80:u0|IR[1]                                 ; 217     ;
2841
; T80se:z80_inst|T80:u0|IR[2]                                 ; 181     ;
2842
; T80se:z80_inst|T80:u0|IR[3]                                 ; 154     ;
2843
; T80se:z80_inst|T80:u0|IR[6]                                 ; 127     ;
2844
; T80se:z80_inst|T80:u0|IR[4]                                 ; 122     ;
2845
; T80se:z80_inst|T80:u0|IR[5]                                 ; 118     ;
2846
; T80se:z80_inst|T80:u0|IR[7]                                 ; 112     ;
2847
; T80se:z80_inst|T80:u0|MCycle[0]                             ; 90      ;
2848
; T80se:z80_inst|T80:u0|MCycle[1]                             ; 86      ;
2849
; T80se:z80_inst|T80:u0|MCycle[2]                             ; 77      ;
2850
; T80se:z80_inst|T80:u0|ISet[1]                               ; 73      ;
2851
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux146~2              ; 72      ;
2852
; T80se:z80_inst|T80:u0|A[4]                                  ; 72      ;
2853
; T80se:z80_inst|T80:u0|A[3]                                  ; 71      ;
2854
; LCD:lcd_inst|char_count_sig[3]                              ; 65      ;
2855
; LCD:lcd_inst|char_count_sig[1]                              ; 64      ;
2856
; T80se:z80_inst|T80:u0|RegAddrA[1]~12                        ; 64      ;
2857
; T80se:z80_inst|T80:u0|RegAddrA[0]~10                        ; 64      ;
2858
; LCD:lcd_inst|char_count_sig[0]                              ; 62      ;
2859
; LCD:lcd_inst|char_count_sig[2]                              ; 62      ;
2860
; T80se:z80_inst|T80:u0|A[2]                                  ; 60      ;
2861
; T80se:z80_inst|T80:u0|A[0]                                  ; 58      ;
2862
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3]          ; 56      ;
2863
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4]          ; 56      ;
2864
; T80se:z80_inst|T80:u0|A[1]                                  ; 56      ;
2865
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5]          ; 53      ;
2866
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0]          ; 50      ;
2867
; T80se:z80_inst|T80:u0|ALU_Op_r[0]                           ; 49      ;
2868
; T80se:z80_inst|T80:u0|RegAddrB[1]~2                         ; 48      ;
2869
; T80se:z80_inst|T80:u0|RegAddrB[0]~1                         ; 48      ;
2870
; T80se:z80_inst|T80:u0|ISet[0]                               ; 48      ;
2871
; T80se:z80_inst|T80:u0|RegAddrC[1]                           ; 48      ;
2872
; T80se:z80_inst|T80:u0|RegAddrC[0]                           ; 48      ;
2873
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1]          ; 46      ;
2874
; T80se:z80_inst|T80:u0|ALU_Op_r[1]                           ; 46      ;
2875
; T80se:z80_inst|T80:u0|process_0~3                           ; 44      ;
2876
; T80se:z80_inst|T80:u0|DO[0]                                 ; 44      ;
2877
; T80se:z80_inst|T80:u0|DO[7]                                 ; 43      ;
2878
; T80se:z80_inst|T80:u0|DO[6]                                 ; 43      ;
2879
; T80se:z80_inst|T80:u0|DO[5]                                 ; 43      ;
2880
; T80se:z80_inst|T80:u0|DO[4]                                 ; 43      ;
2881
; T80se:z80_inst|T80:u0|DO[3]                                 ; 43      ;
2882
; T80se:z80_inst|T80:u0|DO[2]                                 ; 43      ;
2883
; T80se:z80_inst|T80:u0|DO[1]                                 ; 43      ;
2884
; LCD:lcd_inst|clk_400hz_enable                               ; 41      ;
2885
; ps2kbd:ps2_kbd_inst|caps[0]                                 ; 38      ;
2886
; T80se:z80_inst|T80:u0|A[5]                                  ; 36      ;
2887
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2]          ; 34      ;
2888
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux146~5              ; 34      ;
2889
; T80se:z80_inst|T80:u0|RegAddrA[2]~16                        ; 32      ;
2890
; T80se:z80_inst|T80:u0|TState[0]                             ; 32      ;
2891
; T80se:z80_inst|T80:u0|A[7]                                  ; 32      ;
2892
; T80se:z80_inst|T80:u0|A[6]                                  ; 32      ;
2893
; T80se:z80_inst|T80:u0|A[10]                                 ; 30      ;
2894
; T80se:z80_inst|T80:u0|A[9]                                  ; 30      ;
2895
; T80se:z80_inst|T80:u0|A[8]                                  ; 30      ;
2896
; T80se:z80_inst|DI_Reg[7]                                    ; 29      ;
2897
; T80se:z80_inst|T80:u0|A[12]                                 ; 29      ;
2898
; T80se:z80_inst|T80:u0|A[11]                                 ; 29      ;
2899
; T80se:z80_inst|T80:u0|ALU_Op_r[2]                           ; 28      ;
2900
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Set_Addr_To[1]~3      ; 28      ;
2901
; T80se:z80_inst|T80:u0|TState[1]                             ; 27      ;
2902
; T80se:z80_inst|T80:u0|A[7]~9                                ; 27      ;
2903
; T80se:z80_inst|T80:u0|TState[2]                             ; 27      ;
2904
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux190~0              ; 27      ;
2905
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux146~1              ; 27      ;
2906
; T80se:z80_inst|T80:u0|RegDIH[7]~0                           ; 26      ;
2907
; LCD:lcd_inst|state.hold                                     ; 26      ;
2908
; T80se:z80_inst|Equal3~0                                     ; 25      ;
2909
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux146~3              ; 25      ;
2910
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux255~0              ; 25      ;
2911
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux100~4              ; 24      ;
2912
; LCD:lcd_inst|state.print_string                             ; 23      ;
2913
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux146~4              ; 23      ;
2914
; T80se:z80_inst|T80:u0|RegDIH[7]~1                           ; 22      ;
2915
; pinout_process~0                                            ; 22      ;
2916
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6]          ; 21      ;
2917
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~89                    ; 21      ;
2918
; T80se:z80_inst|T80:u0|A[13]                                 ; 21      ;
2919
; LCD:lcd_inst|clk_count_400hz[13]~46                         ; 20      ;
2920
; T80se:z80_inst|T80:u0|F[1]                                  ; 20      ;
2921
; T80se:z80_inst|T80:u0|ALU_Op_r[3]                           ; 20      ;
2922
; T80se:z80_inst|T80:u0|T80_ALU:alu|process_1~0               ; 19      ;
2923
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux253~4              ; 18      ;
2924
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux271~3              ; 17      ;
2925
; T80se:z80_inst|T80:u0|BusA[5]                               ; 17      ;
2926
; Clk_Z80                                                     ; 17      ;
2927
; T80se:z80_inst|T80:u0|T80_ALU:alu|Mux12~0                   ; 17      ;
2928
; T80se:z80_inst|T80:u0|BusA[7]                               ; 17      ;
2929
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux101~0              ; 17      ;
2930
; T80se:z80_inst|T80:u0|Equal4~2                              ; 17      ;
2931
; T80se:z80_inst|T80:u0|Equal4~0                              ; 17      ;
2932
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux45~2               ; 17      ;
2933
; vram_wea~3                                                  ; 16      ;
2934
; T80se:z80_inst|T80:u0|F~55                                  ; 16      ;
2935
; T80se:z80_inst|T80:u0|BusA[2]~0                             ; 16      ;
2936
; DI_CPU~14                                                   ; 16      ;
2937
; T80se:z80_inst|T80:u0|RegAddrB[2]~3                         ; 16      ;
2938
; LCD:lcd_inst|state.drop_LCD_EN                              ; 16      ;
2939
; T80se:z80_inst|T80:u0|A[7]~14                               ; 16      ;
2940
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux252~8              ; 16      ;
2941
; T80se:z80_inst|T80:u0|RegAddrC[2]                           ; 16      ;
2942
; T80se:z80_inst|T80:u0|ACC[0]~37                             ; 15      ;
2943
; T80se:z80_inst|T80:u0|PC[6]~28                              ; 15      ;
2944
; T80se:z80_inst|T80:u0|PC[6]~24                              ; 15      ;
2945
; T80se:z80_inst|T80:u0|Equal0~0                              ; 15      ;
2946
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Set_BusB_To[0]~29     ; 14      ;
2947
; T80se:z80_inst|T80:u0|process_0~5                           ; 14      ;
2948
; T80se:z80_inst|T80:u0|Equal0~2                              ; 14      ;
2949
; T80se:z80_inst|T80:u0|Read_To_Reg_r[0]                      ; 14      ;
2950
; T80se:z80_inst|T80:u0|T80_ALU:alu|Mux12~1                   ; 14      ;
2951
; T80se:z80_inst|T80:u0|Equal0~1                              ; 14      ;
2952
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux146~8              ; 14      ;
2953
; T80se:z80_inst|T80:u0|F[0]                                  ; 14      ;
2954
; T80se:z80_inst|T80:u0|BusB[0]~8                             ; 13      ;
2955
; clk_div:clkdiv_inst|count_10Mhz[0]                          ; 13      ;
2956
; LCD:lcd_inst|char_count_sig[4]                              ; 13      ;
2957
; T80se:z80_inst|T80:u0|BusA[6]                               ; 13      ;
2958
; T80se:z80_inst|T80:u0|BusA[1]                               ; 13      ;
2959
; T80se:z80_inst|T80:u0|A[10]~50                              ; 13      ;
2960
; T80se:z80_inst|T80:u0|Equal3~2                              ; 13      ;
2961
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7]          ; 12      ;
2962
; T80se:z80_inst|T80:u0|PC[14]~48                             ; 12      ;
2963
; T80se:z80_inst|T80:u0|PC[14]~47                             ; 12      ;
2964
; T80se:z80_inst|T80:u0|ACC[0]~8                              ; 12      ;
2965
; T80se:z80_inst|T80:u0|ACC[0]~7                              ; 12      ;
2966
; T80se:z80_inst|T80:u0|SP[8]~25                              ; 12      ;
2967
; T80se:z80_inst|T80:u0|SP[8]~24                              ; 12      ;
2968
; T80se:z80_inst|T80:u0|PC[6]~20                              ; 12      ;
2969
; T80se:z80_inst|T80:u0|PC[6]~19                              ; 12      ;
2970
; T80se:z80_inst|T80:u0|SP[5]~4                               ; 12      ;
2971
; T80se:z80_inst|T80:u0|SP[5]~3                               ; 12      ;
2972
; T80se:z80_inst|T80:u0|BusB[7]                               ; 12      ;
2973
; T80se:z80_inst|T80:u0|BusB[3]                               ; 12      ;
2974
; T80se:z80_inst|T80:u0|BusA[2]                               ; 12      ;
2975
; T80se:z80_inst|T80:u0|BusA[3]                               ; 12      ;
2976
; T80se:z80_inst|T80:u0|BusB[5]                               ; 12      ;
2977
; T80se:z80_inst|T80:u0|DO[3]~11                              ; 12      ;
2978
; T80se:z80_inst|T80:u0|DO[3]~10                              ; 12      ;
2979
; T80se:z80_inst|T80:u0|Save_ALU_r                            ; 12      ;
2980
; T80se:z80_inst|T80:u0|A[10]~49                              ; 12      ;
2981
; T80se:z80_inst|DI_Reg[6]                                    ; 12      ;
2982
; T80se:z80_inst|DI_Reg[5]                                    ; 12      ;
2983
; T80se:z80_inst|DI_Reg[4]                                    ; 12      ;
2984
; T80se:z80_inst|DI_Reg[3]                                    ; 12      ;
2985
; T80se:z80_inst|DI_Reg[2]                                    ; 12      ;
2986
; T80se:z80_inst|DI_Reg[1]                                    ; 12      ;
2987
; T80se:z80_inst|DI_Reg[0]                                    ; 12      ;
2988
; T80se:z80_inst|T80:u0|A[7]~8                                ; 12      ;
2989
; T80se:z80_inst|T80:u0|A[7]~7                                ; 12      ;
2990
; T80se:z80_inst|T80:u0|Equal4~1                              ; 12      ;
2991
; T80se:z80_inst|T80:u0|Equal3~1                              ; 12      ;
2992
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~85                    ; 12      ;
2993
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux250~5              ; 11      ;
2994
; video:video_inst|VGA_SYNC:vga_sync_inst|LessThan5~0         ; 11      ;
2995
; T80se:z80_inst|Equal1~0                                     ; 11      ;
2996
; T80se:z80_inst|T80:u0|BusA[4]                               ; 11      ;
2997
; T80se:z80_inst|T80:u0|BusB[1]                               ; 11      ;
2998
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux44~0               ; 11      ;
2999
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux110~2              ; 11      ;
3000
; T80se:z80_inst|T80:u0|F[6]                                  ; 11      ;
3001
; DI_CPU[4]~114                                               ; 10      ;
3002
; ps2_read                                                    ; 10      ;
3003
; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[2]~1        ; 10      ;
3004
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux251~3              ; 10      ;
3005
; video:video_inst|VGA_SYNC:vga_sync_inst|LessThan6~2         ; 10      ;
3006
; DI_CPU~11                                                   ; 10      ;
3007
; T80se:z80_inst|T80:u0|BusA[0]                               ; 10      ;
3008
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux215~1              ; 10      ;
3009
; T80se:z80_inst|T80:u0|XY_State[1]                           ; 10      ;
3010
; T80se:z80_inst|T80:u0|Equal4~4                              ; 10      ;
3011
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~86                    ; 10      ;
3012
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux287~4              ; 10      ;
3013
; T80se:z80_inst|MREQ_n                                       ; 10      ;
3014
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]~0          ; 9       ;
3015
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Set_BusB_To[2]~20     ; 9       ;
3016
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux245~6              ; 9       ;
3017
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux265~2              ; 9       ;
3018
; T80se:z80_inst|T80:u0|F~13                                  ; 9       ;
3019
; DI_CPU~22                                                   ; 9       ;
3020
; DI_CPU~17                                                   ; 9       ;
3021
; T80se:z80_inst|T80:u0|BusB[2]                               ; 9       ;
3022
; T80se:z80_inst|T80:u0|BusB[4]                               ; 9       ;
3023
; T80se:z80_inst|T80:u0|BusB[0]                               ; 9       ;
3024
; T80se:z80_inst|T80:u0|ACC[5]                                ; 9       ;
3025
; T80se:z80_inst|T80:u0|ACC[3]                                ; 9       ;
3026
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~163                   ; 9       ;
3027
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux102~0              ; 9       ;
3028
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~91                    ; 9       ;
3029
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux294~0              ; 9       ;
3030
; SRAM_DQ~8                                                   ; 9       ;
3031
; Decoder0~55                                                 ; 8       ;
3032
; Decoder0~54                                                 ; 8       ;
3033
; Decoder0~53                                                 ; 8       ;
3034
; Decoder0~52                                                 ; 8       ;
3035
; Decoder0~51                                                 ; 8       ;
3036
; Decoder0~50                                                 ; 8       ;
3037
; Decoder0~49                                                 ; 8       ;
3038
; Decoder0~48                                                 ; 8       ;
3039
; T80se:z80_inst|T80:u0|BusB[0]~7                             ; 8       ;
3040
; DI_CPU[4]~115                                               ; 8       ;
3041
; Decoder0~47                                                 ; 8       ;
3042
; Decoder0~46                                                 ; 8       ;
3043
; Decoder0~45                                                 ; 8       ;
3044
; Decoder0~44                                                 ; 8       ;
3045
; Decoder0~43                                                 ; 8       ;
3046
; Decoder0~42                                                 ; 8       ;
3047
; Decoder0~41                                                 ; 8       ;
3048
; Decoder0~40                                                 ; 8       ;
3049
; lcdvram~2                                                   ; 8       ;
3050
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7]~0        ; 8       ;
3051
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|LessThan0~0           ; 8       ;
3052
; ps2_ascii_reg1[0]~2                                         ; 8       ;
3053
; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[6]     ; 8       ;
3054
; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[5]     ; 8       ;
3055
; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[4]     ; 8       ;
3056
; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[3]     ; 8       ;
3057
; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[2]~2        ; 8       ;
3058
; T80se:z80_inst|T80:u0|BusA[2]~1                             ; 8       ;
3059
; T80se:z80_inst|T80:u0|Mux96~0                               ; 8       ;
3060
; T80se:z80_inst|T80:u0|BusB[0]~6                             ; 8       ;
3061
; T80se:z80_inst|T80:u0|BusB[0]~5                             ; 8       ;
3062
; T80se:z80_inst|T80:u0|BusB[0]~4                             ; 8       ;
3063
; T80se:z80_inst|T80:u0|Mux84~0                               ; 8       ;
3064
; T80se:z80_inst|T80:u0|RegDIH[7]~17                          ; 8       ;
3065
; T80se:z80_inst|T80:u0|RegDIH[6]~15                          ; 8       ;
3066
; T80se:z80_inst|T80:u0|RegDIH[5]~13                          ; 8       ;
3067
; T80se:z80_inst|T80:u0|RegDIH[4]~11                          ; 8       ;
3068
; T80se:z80_inst|T80:u0|RegDIH[3]~9                           ; 8       ;
3069
; T80se:z80_inst|T80:u0|RegDIH[2]~7                           ; 8       ;
3070
; T80se:z80_inst|T80:u0|RegDIH[1]~5                           ; 8       ;
3071
; T80se:z80_inst|T80:u0|I[0]~0                                ; 8       ;
3072
; T80se:z80_inst|T80:u0|PC[14]~51                             ; 8       ;
3073
; T80se:z80_inst|T80:u0|ACC[0]~15                             ; 8       ;
3074
; T80se:z80_inst|T80:u0|ACC[0]~11                             ; 8       ;
3075
; T80se:z80_inst|T80:u0|SP[8]~28                              ; 8       ;
3076
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][0]~7            ; 8       ;
3077
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][0]~6            ; 8       ;
3078
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][0]~5            ; 8       ;
3079
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][0]~4            ; 8       ;
3080
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][0]~3            ; 8       ;
3081
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][0]~2            ; 8       ;
3082
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][0]~1            ; 8       ;
3083
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][0]~0            ; 8       ;
3084
; T80se:z80_inst|T80:u0|RegWEH~0                              ; 8       ;
3085
; T80se:z80_inst|T80:u0|RegDIH[0]~3                           ; 8       ;
3086
; T80se:z80_inst|T80:u0|TmpAddr[8]~43                         ; 8       ;
3087
; T80se:z80_inst|T80:u0|RegDIL[7]~15                          ; 8       ;
3088
; T80se:z80_inst|T80:u0|RegDIL[6]~13                          ; 8       ;
3089
; T80se:z80_inst|T80:u0|RegDIL[5]~11                          ; 8       ;
3090
; T80se:z80_inst|T80:u0|RegDIL[4]~9                           ; 8       ;
3091
; T80se:z80_inst|T80:u0|RegDIL[3]~7                           ; 8       ;
3092
; T80se:z80_inst|T80:u0|RegDIL[2]~5                           ; 8       ;
3093
; T80se:z80_inst|T80:u0|RegDIL[1]~3                           ; 8       ;
3094
; T80se:z80_inst|T80:u0|SP[5]~9                               ; 8       ;
3095
; T80se:z80_inst|T80:u0|TmpAddr[0]~31                         ; 8       ;
3096
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux101~1              ; 8       ;
3097
; T80se:z80_inst|T80:u0|IR[0]~0                               ; 8       ;
3098
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[3][0]~7            ; 8       ;
3099
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[0][0]~6            ; 8       ;
3100
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[1][0]~5            ; 8       ;
3101
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[2][0]~4            ; 8       ;
3102
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[7][0]~3            ; 8       ;
3103
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[4][0]~2            ; 8       ;
3104
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[6][0]~1            ; 8       ;
3105
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[5][0]~0            ; 8       ;
3106
; T80se:z80_inst|T80:u0|RegWEL~2                              ; 8       ;
3107
; T80se:z80_inst|T80:u0|RegDIL[0]~1                           ; 8       ;
3108
; T80se:z80_inst|T80:u0|BusB[6]                               ; 8       ;
3109
; T80se:z80_inst|T80:u0|F[4]                                  ; 8       ;
3110
; T80se:z80_inst|T80:u0|DO[3]~15                              ; 8       ;
3111
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux249~0              ; 8       ;
3112
; T80se:z80_inst|T80:u0|Save_Mux[6]~3                         ; 8       ;
3113
; T80se:z80_inst|T80:u0|Save_Mux[6]~2                         ; 8       ;
3114
; clk_div:clkdiv_inst|clock_25Mhz_int                         ; 8       ;
3115
; T80se:z80_inst|Equal2~0                                     ; 8       ;
3116
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux61~4               ; 8       ;
3117
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux215~0              ; 8       ;
3118
; T80se:z80_inst|T80:u0|PC[0]                                 ; 8       ;
3119
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~95                    ; 8       ;
3120
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux260~0              ; 8       ;
3121
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux126~0              ; 8       ;
3122
; T80se:z80_inst|T80:u0|F[2]                                  ; 8       ;
3123
; \pinout_process:LEDR_sig[15]~0                              ; 8       ;
3124
; \pinout_process:LEDR_sig[2]~0                               ; 8       ;
3125
; \pinout_process:LEDG_sig[7]~0                               ; 8       ;
3126
; \pinout_process:NUMBER6_sig[3]~0                            ; 8       ;
3127
; \pinout_process:NUMBER4_sig[3]~0                            ; 8       ;
3128
; \pinout_process:NUMBER2_sig[3]~1                            ; 8       ;
3129
; \pinout_process:NUMBER0_sig[3]~0                            ; 8       ;
3130
; T80se:z80_inst|T80:u0|A[14]                                 ; 8       ;
3131
; video:video_inst|Add1~16                                    ; 8       ;
3132
; video:video_inst|Add1~14                                    ; 8       ;
3133
; video:video_inst|Add1~12                                    ; 8       ;
3134
; video:video_inst|Add1~10                                    ; 8       ;
3135
; video:video_inst|Add1~8                                     ; 8       ;
3136
; video:video_inst|Add1~6                                     ; 8       ;
3137
; video:video_inst|Add1~4                                     ; 8       ;
3138
; video:video_inst|Add1~2                                     ; 8       ;
3139
; video:video_inst|Add1~0                                     ; 8       ;
3140
; LCD:lcd_inst|state.reset1                                   ; 7       ;
3141
; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[7]          ; 7       ;
3142
; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[9]          ; 7       ;
3143
; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[8]          ; 7       ;
3144
; T80se:z80_inst|T80:u0|R[1]~11                               ; 7       ;
3145
; T80se:z80_inst|T80:u0|R[1]~9                                ; 7       ;
3146
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux264~5              ; 7       ;
3147
; T80se:z80_inst|T80:u0|IR~1                                  ; 7       ;
3148
; DI_CPU[2]~23                                                ; 7       ;
3149
; DI_CPU~13                                                   ; 7       ;
3150
; DI_CPU~10                                                   ; 7       ;
3151
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux300~0              ; 7       ;
3152
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux92~5               ; 7       ;
3153
; T80se:z80_inst|T80:u0|ACC[7]                                ; 7       ;
3154
; T80se:z80_inst|T80:u0|ACC[6]                                ; 7       ;
3155
; T80se:z80_inst|T80:u0|ACC[4]                                ; 7       ;
3156
; T80se:z80_inst|T80:u0|ACC[2]                                ; 7       ;
3157
; T80se:z80_inst|T80:u0|ACC[1]                                ; 7       ;
3158
; T80se:z80_inst|T80:u0|ACC[0]                                ; 7       ;
3159
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux146~6              ; 7       ;
3160
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~88                    ; 7       ;
3161
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux146~0              ; 7       ;
3162
; T80se:z80_inst|T80:u0|F[7]                                  ; 7       ;
3163
; T80se:z80_inst|RD_n                                         ; 7       ;
3164
; T80se:z80_inst|T80:u0|A[15]                                 ; 7       ;
3165
; \pinout_process:NUMBER7_sig[3]                              ; 7       ;
3166
; \pinout_process:NUMBER7_sig[2]                              ; 7       ;
3167
; \pinout_process:NUMBER7_sig[1]                              ; 7       ;
3168
; \pinout_process:NUMBER7_sig[0]                              ; 7       ;
3169
; \pinout_process:NUMBER6_sig[3]                              ; 7       ;
3170
; \pinout_process:NUMBER6_sig[2]                              ; 7       ;
3171
; \pinout_process:NUMBER6_sig[1]                              ; 7       ;
3172
; \pinout_process:NUMBER6_sig[0]                              ; 7       ;
3173
; \pinout_process:NUMBER5_sig[3]                              ; 7       ;
3174
; \pinout_process:NUMBER5_sig[2]                              ; 7       ;
3175
; \pinout_process:NUMBER5_sig[1]                              ; 7       ;
3176
; \pinout_process:NUMBER5_sig[0]                              ; 7       ;
3177
; \pinout_process:NUMBER4_sig[3]                              ; 7       ;
3178
; \pinout_process:NUMBER4_sig[2]                              ; 7       ;
3179
; \pinout_process:NUMBER4_sig[1]                              ; 7       ;
3180
; \pinout_process:NUMBER4_sig[0]                              ; 7       ;
3181
; \pinout_process:NUMBER3_sig[3]                              ; 7       ;
3182
; \pinout_process:NUMBER3_sig[2]                              ; 7       ;
3183
; \pinout_process:NUMBER3_sig[1]                              ; 7       ;
3184
; \pinout_process:NUMBER3_sig[0]                              ; 7       ;
3185
; \pinout_process:NUMBER2_sig[3]                              ; 7       ;
3186
; \pinout_process:NUMBER2_sig[2]                              ; 7       ;
3187
; \pinout_process:NUMBER2_sig[1]                              ; 7       ;
3188
; \pinout_process:NUMBER2_sig[0]                              ; 7       ;
3189
; \pinout_process:NUMBER1_sig[3]                              ; 7       ;
3190
; \pinout_process:NUMBER1_sig[2]                              ; 7       ;
3191
; \pinout_process:NUMBER1_sig[1]                              ; 7       ;
3192
; \pinout_process:NUMBER1_sig[0]                              ; 7       ;
3193
; \pinout_process:NUMBER0_sig[3]                              ; 7       ;
3194
; \pinout_process:NUMBER0_sig[2]                              ; 7       ;
3195
; \pinout_process:NUMBER0_sig[1]                              ; 7       ;
3196
; \pinout_process:NUMBER0_sig[0]                              ; 7       ;
3197
; T80se:z80_inst|T80:u0|DO[7]~7                               ; 7       ;
3198
; T80se:z80_inst|T80:u0|DO[6]~6                               ; 7       ;
3199
; T80se:z80_inst|T80:u0|DO[5]~5                               ; 7       ;
3200
; T80se:z80_inst|T80:u0|DO[4]~4                               ; 7       ;
3201
; T80se:z80_inst|T80:u0|DO[3]~3                               ; 7       ;
3202
; T80se:z80_inst|T80:u0|DO[2]~2                               ; 7       ;
3203
; T80se:z80_inst|T80:u0|DO[1]~1                               ; 7       ;
3204
; T80se:z80_inst|T80:u0|DO[0]~0                               ; 7       ;
3205
; LCD:lcd_inst|process_1~0                                    ; 6       ;
3206
; LCD:lcd_inst|Equal0~0                                       ; 6       ;
3207
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux251~20             ; 6       ;
3208
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux252~16             ; 6       ;
3209
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Set_BusB_To[1]~11     ; 6       ;
3210
; LCD:lcd_inst|WideOr0~0                                      ; 6       ;
3211
; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[4]          ; 6       ;
3212
; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[3]          ; 6       ;
3213
; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[2]          ; 6       ;
3214
; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[5]          ; 6       ;
3215
; T80se:z80_inst|T80:u0|BTR_r                                 ; 6       ;
3216
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~245                   ; 6       ;
3217
; T80se:z80_inst|T80:u0|SP[8]~2                               ; 6       ;
3218
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux101~2              ; 6       ;
3219
; DI_CPU[2]~29                                                ; 6       ;
3220
; DI_CPU[2]~27                                                ; 6       ;
3221
; DI_CPU[2]~24                                                ; 6       ;
3222
; DI_CPU~12                                                   ; 6       ;
3223
; T80se:z80_inst|T80:u0|Alternate                             ; 6       ;
3224
; T80se:z80_inst|T80:u0|RegAddrB~0                            ; 6       ;
3225
; T80se:z80_inst|T80:u0|Save_Mux[7]~21                        ; 6       ;
3226
; LCD:lcd_inst|char_count_sig[2]~0                            ; 6       ;
3227
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~211                   ; 6       ;
3228
; T80se:z80_inst|T80:u0|XY_State[0]                           ; 6       ;
3229
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~105                   ; 6       ;
3230
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux92~4               ; 6       ;
3231
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~98                    ; 6       ;
3232
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux261~8              ; 6       ;
3233
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux272~0              ; 6       ;
3234
; T80se:z80_inst|T80:u0|Equal3~0                              ; 6       ;
3235
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux217~0              ; 6       ;
3236
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux259~5              ; 5       ;
3237
; clk_div:clkdiv_inst|LessThan1~0                             ; 5       ;
3238
; clk_div:clkdiv_inst|clock_100Khz_int                        ; 5       ;
3239
; clk_div:clkdiv_inst|clock_10Khz_int                         ; 5       ;
3240
; clk_div:clkdiv_inst|clock_1Khz_int                          ; 5       ;
3241
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]              ; 5       ;
3242
; clk_div:clkdiv_inst|count_357Mhz[3]                         ; 5       ;
3243
; clk_div:clkdiv_inst|count_357Mhz[2]                         ; 5       ;
3244
; clk_div:clkdiv_inst|count_357Mhz[1]                         ; 5       ;
3245
; LCD:lcd_inst|LessThan1~0                                    ; 5       ;
3246
; next_char_sig[1]                                            ; 5       ;
3247
; T80se:z80_inst|T80:u0|F[3]~34                               ; 5       ;
3248
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux263~6              ; 5       ;
3249
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~247                   ; 5       ;
3250
; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[8]          ; 5       ;
3251
; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[7]          ; 5       ;
3252
; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[6]          ; 5       ;
3253
; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[5]          ; 5       ;
3254
; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[9]          ; 5       ;
3255
; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[0]          ; 5       ;
3256
; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[1]          ; 5       ;
3257
; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[6]          ; 5       ;
3258
; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[4]          ; 5       ;
3259
; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[3]          ; 5       ;
3260
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~244                   ; 5       ;
3261
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux270~0              ; 5       ;
3262
; DI_CPU~43                                                   ; 5       ;
3263
; DI_CPU[4]~42                                                ; 5       ;
3264
; LessThan6~0                                                 ; 5       ;
3265
; DI_CPU~15                                                   ; 5       ;
3266
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux273~3              ; 5       ;
3267
; T80se:z80_inst|T80:u0|RegAddrA[0]~7                         ; 5       ;
3268
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux274~4              ; 5       ;
3269
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux272~1              ; 5       ;
3270
; T80se:z80_inst|T80:u0|DO[3]~14                              ; 5       ;
3271
; T80se:z80_inst|T80:u0|SP[15]                                ; 5       ;
3272
; T80se:z80_inst|T80:u0|SP[14]                                ; 5       ;
3273
; T80se:z80_inst|T80:u0|SP[13]                                ; 5       ;
3274
; T80se:z80_inst|T80:u0|SP[12]                                ; 5       ;
3275
; T80se:z80_inst|T80:u0|SP[11]                                ; 5       ;
3276
; T80se:z80_inst|T80:u0|SP[10]                                ; 5       ;
3277
; T80se:z80_inst|T80:u0|SP[9]                                 ; 5       ;
3278
; T80se:z80_inst|T80:u0|SP[8]                                 ; 5       ;
3279
; T80se:z80_inst|T80:u0|PC[7]                                 ; 5       ;
3280
; T80se:z80_inst|T80:u0|SP[7]                                 ; 5       ;
3281
; T80se:z80_inst|T80:u0|PC[6]                                 ; 5       ;
3282
; T80se:z80_inst|T80:u0|SP[6]                                 ; 5       ;
3283
; T80se:z80_inst|T80:u0|PC[5]                                 ; 5       ;
3284
; T80se:z80_inst|T80:u0|SP[5]                                 ; 5       ;
3285
; T80se:z80_inst|T80:u0|PC[4]                                 ; 5       ;
3286
; T80se:z80_inst|T80:u0|SP[4]                                 ; 5       ;
3287
; T80se:z80_inst|T80:u0|PC[3]                                 ; 5       ;
3288
; T80se:z80_inst|T80:u0|SP[3]                                 ; 5       ;
3289
; T80se:z80_inst|T80:u0|PC[2]                                 ; 5       ;
3290
; T80se:z80_inst|T80:u0|SP[2]                                 ; 5       ;
3291
; T80se:z80_inst|T80:u0|PC[1]                                 ; 5       ;
3292
; T80se:z80_inst|T80:u0|SP[1]                                 ; 5       ;
3293
; T80se:z80_inst|T80:u0|SP[0]                                 ; 5       ;
3294
; T80se:z80_inst|T80:u0|XY_Ind                                ; 5       ;
3295
; T80se:z80_inst|T80:u0|RegAddrA~2                            ; 5       ;
3296
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~106                   ; 5       ;
3297
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux75~15              ; 5       ;
3298
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~87                    ; 5       ;
3299
; T80se:z80_inst|T80:u0|Equal3~3                              ; 5       ;
3300
; T80se:z80_inst|T80:u0|process_0~2                           ; 5       ;
3301
; Equal21~1                                                   ; 5       ;
3302
; Equal21~0                                                   ; 5       ;
3303
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR             ; 5       ;
3304
; T80se:z80_inst|T80:u0|PC[15]                                ; 5       ;
3305
; T80se:z80_inst|T80:u0|PC[14]                                ; 5       ;
3306
; T80se:z80_inst|T80:u0|PC[13]                                ; 5       ;
3307
; T80se:z80_inst|T80:u0|PC[12]                                ; 5       ;
3308
; T80se:z80_inst|T80:u0|PC[11]                                ; 5       ;
3309
; T80se:z80_inst|T80:u0|PC[10]                                ; 5       ;
3310
; T80se:z80_inst|T80:u0|PC[9]                                 ; 5       ;
3311
; T80se:z80_inst|T80:u0|PC[8]                                 ; 5       ;
3312
; T80se:z80_inst|T80:u0|T80_ALU:alu|Mux12~3                   ; 4       ;
3313
; T80se:z80_inst|T80:u0|T80_ALU:alu|Mux10~1                   ; 4       ;
3314
; T80se:z80_inst|T80:u0|ACC[0]~39                             ; 4       ;
3315
; T80se:z80_inst|T80:u0|ACC[0]~38                             ; 4       ;
3316
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux92~6               ; 4       ;
3317
; DI_CPU[4]~116                                               ; 4       ;
3318
; clk_div:clkdiv_inst|clock_1Mhz_int                          ; 4       ;
3319
; clk_div:clkdiv_inst|count_100Khz[1]                         ; 4       ;
3320
; clk_div:clkdiv_inst|count_100Khz[0]                         ; 4       ;
3321
; clk_div:clkdiv_inst|count_10Khz[1]                          ; 4       ;
3322
; clk_div:clkdiv_inst|count_10Khz[0]                          ; 4       ;
3323
; clk_div:clkdiv_inst|count_1Khz[1]                           ; 4       ;
3324
; clk_div:clkdiv_inst|count_1Khz[0]                           ; 4       ;
3325
; clk_div:clkdiv_inst|count_100hz[1]                          ; 4       ;
3326
; clk_div:clkdiv_inst|count_100hz[0]                          ; 4       ;
3327
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]~1            ; 4       ;
3328
; Decoder0~36                                                 ; 4       ;
3329
; Decoder0~34                                                 ; 4       ;
3330
; Decoder0~30                                                 ; 4       ;
3331
; Decoder0~28                                                 ; 4       ;
3332
; Decoder0~24                                                 ; 4       ;
3333
; Decoder0~22                                                 ; 4       ;
3334
; Decoder0~18                                                 ; 4       ;
3335
; Decoder0~16                                                 ; 4       ;
3336
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]              ; 4       ;
3337
; clk_div:clkdiv_inst|count_357Mhz[0]                         ; 4       ;
3338
; ps2kbd:ps2_kbd_inst|WideNor0~2                              ; 4       ;
3339
; T80se:z80_inst|T80:u0|F[3]~58                               ; 4       ;
3340
; LCD:lcd_inst|state.display_on                               ; 4       ;
3341
; next_char_sig[0]                                            ; 4       ;
3342
; next_char_sig[3]                                            ; 4       ;
3343
; next_char_sig[2]                                            ; 4       ;
3344
; LCD:lcd_inst|state.line2                                    ; 4       ;
3345
; LCD:lcd_inst|state.mode_set                                 ; 4       ;
3346
; video:video_inst|VGA_SYNC:vga_sync_inst|process_0~8         ; 4       ;
3347
; video:video_inst|VGA_SYNC:vga_sync_inst|LessThan1~2         ; 4       ;
3348
; video:video_inst|VGA_SYNC:vga_sync_inst|Equal0~2            ; 4       ;
3349
; T80se:z80_inst|T80:u0|Equal4~5                              ; 4       ;
3350
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux251~4              ; 4       ;
3351
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~270                   ; 4       ;
3352
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~254                   ; 4       ;
3353
; cram_wea~0                                                  ; 4       ;
3354
; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[2]          ; 4       ;
3355
; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[1]          ; 4       ;
3356
; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[0]          ; 4       ;
3357
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux69~2               ; 4       ;
3358
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux199~1              ; 4       ;
3359
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Inc_PC~2              ; 4       ;
3360
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux293~2              ; 4       ;
3361
; T80se:z80_inst|T80:u0|process_0~8                           ; 4       ;
3362
; T80se:z80_inst|T80:u0|T80_ALU:alu|Mux28~5                   ; 4       ;
3363
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Special_LD[1]~0       ; 4       ;
3364
; T80se:z80_inst|T80:u0|process_0~7                           ; 4       ;
3365
; T80se:z80_inst|T80:u0|Arith16_r                             ; 4       ;
3366
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux295~0              ; 4       ;
3367
; DI_CPU[4]~64                                                ; 4       ;
3368
; DI_CPU[4]~59                                                ; 4       ;
3369
; DI_CPU[5]~54                                                ; 4       ;
3370
; DI_CPU~40                                                   ; 4       ;
3371
; DI_CPU~34                                                   ; 4       ;
3372
; DI_CPU[2]~33                                                ; 4       ;
3373
; DI_CPU[2]~19                                                ; 4       ;
3374
; lcdvram~1                                                   ; 4       ;
3375
; T80se:z80_inst|T80:u0|Halt_FF                               ; 4       ;
3376
; T80se:z80_inst|T80:u0|ISet~1                                ; 4       ;
3377
; T80se:z80_inst|T80:u0|IntE_FF2~2                            ; 4       ;
3378
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux272~6              ; 4       ;
3379
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux272~4              ; 4       ;
3380
; T80se:z80_inst|T80:u0|process_3~0                           ; 4       ;
3381
; T80se:z80_inst|T80:u0|T80_ALU:alu|Mux8~4                    ; 4       ;
3382
; T80se:z80_inst|T80:u0|T80_ALU:alu|DAA_Q[7]~14               ; 4       ;
3383
; T80se:z80_inst|T80:u0|T80_ALU:alu|Mux21~2                   ; 4       ;
3384
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~226                   ; 4       ;
3385
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~222                   ; 4       ;
3386
; T80se:z80_inst|T80:u0|Read_To_Reg_r[3]                      ; 4       ;
3387
; T80se:z80_inst|T80:u0|Read_To_Reg_r[1]                      ; 4       ;
3388
; T80se:z80_inst|T80:u0|Read_To_Reg_r[2]                      ; 4       ;
3389
; T80se:z80_inst|T80:u0|SP[8]~0                               ; 4       ;
3390
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux199~0              ; 4       ;
3391
; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out~1          ; 4       ;
3392
; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[1]     ; 4       ;
3393
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux155~0              ; 4       ;
3394
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux262~11             ; 4       ;
3395
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux32~4                  ; 4       ;
3396
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux33~4                  ; 4       ;
3397
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux34~4                  ; 4       ;
3398
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux35~4                  ; 4       ;
3399
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux36~4                  ; 4       ;
3400
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux37~4                  ; 4       ;
3401
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux38~4                  ; 4       ;
3402
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux39~4                  ; 4       ;
3403
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux40~4                  ; 4       ;
3404
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux41~4                  ; 4       ;
3405
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux42~4                  ; 4       ;
3406
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux43~4                  ; 4       ;
3407
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux44~4                  ; 4       ;
3408
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux45~4                  ; 4       ;
3409
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux46~4                  ; 4       ;
3410
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~116                   ; 4       ;
3411
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~112                   ; 4       ;
3412
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux146~7              ; 4       ;
3413
; T80se:z80_inst|T80:u0|NextIs_XY_Fetch~2                     ; 4       ;
3414
; T80se:z80_inst|T80:u0|Equal4~3                              ; 4       ;
3415
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux71~0               ; 4       ;
3416
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux297~0              ; 4       ;
3417
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~84                    ; 4       ;
3418
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux47~4                  ; 4       ;
3419
; T80se:z80_inst|IORQ_n                                       ; 4       ;
3420
; PS2_DAT~input                                               ; 3       ;
3421
; T80se:z80_inst|T80:u0|T80_ALU:alu|Mux15~1                   ; 3       ;
3422
; T80se:z80_inst|T80:u0|T80_ALU:alu|Mux14~1                   ; 3       ;
3423
; T80se:z80_inst|T80:u0|T80_ALU:alu|Mux13~1                   ; 3       ;
3424
; T80se:z80_inst|T80:u0|T80_ALU:alu|Mux11~1                   ; 3       ;
3425
; T80se:z80_inst|T80:u0|T80_ALU:alu|Mux9~1                    ; 3       ;
3426
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~370                   ; 3       ;
3427
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~366                   ; 3       ;
3428
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux69~9               ; 3       ;
3429
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux12~2               ; 3       ;
3430
; T80se:z80_inst|T80:u0|TmpAddr[0]~54                         ; 3       ;
3431
; T80se:z80_inst|T80:u0|process_1~10                          ; 3       ;
3432
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux275~2              ; 3       ;
3433
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~345                   ; 3       ;
3434
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~342                   ; 3       ;
3435
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux110~3              ; 3       ;
3436
; clk_div:clkdiv_inst|count_100Khz[2]                         ; 3       ;
3437
; clk_div:clkdiv_inst|count_10Khz[2]                          ; 3       ;
3438
; clk_div:clkdiv_inst|count_1Khz[2]                           ; 3       ;
3439
; clk_div:clkdiv_inst|count_100hz[2]                          ; 3       ;
3440
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[2]             ; 3       ;
3441
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]              ; 3       ;
3442
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 3       ;
3443
; clk_div:clkdiv_inst|count_10Mhz[2]                          ; 3       ;
3444
; clk_div:clkdiv_inst|count_10Mhz[1]                          ; 3       ;
3445
; LCD:lcd_inst|state.display_off                              ; 3       ;
3446
; LCD:lcd_inst|state.display_clear                            ; 3       ;
3447
; next_char_sig[7]                                            ; 3       ;
3448
; next_char_sig[6]                                            ; 3       ;
3449
; next_char_sig[5]                                            ; 3       ;
3450
; next_char_sig[4]                                            ; 3       ;
3451
; LCD:lcd_inst|state.return_home                              ; 3       ;
3452
; LCD:lcd_inst|Equal2~1                                       ; 3       ;
3453
; LCD:lcd_inst|Equal2~0                                       ; 3       ;
3454
; T80se:z80_inst|T80:u0|Pre_XY_F_M[2]~1                       ; 3       ;
3455
; T80se:z80_inst|T80:u0|process_1~9                           ; 3       ;
3456
; T80se:z80_inst|T80:u0|F[3]~38                               ; 3       ;
3457
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux251~5              ; 3       ;
3458
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux215~2              ; 3       ;
3459
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux122~4              ; 3       ;
3460
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~276                   ; 3       ;
3461
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux247~9              ; 3       ;
3462
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux235~0              ; 3       ;
3463
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~250                   ; 3       ;
3464
; vram_wea~0                                                  ; 3       ;
3465
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux0~4                   ; 3       ;
3466
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux1~4                   ; 3       ;
3467
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux2~4                   ; 3       ;
3468
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux3~4                   ; 3       ;
3469
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux4~4                   ; 3       ;
3470
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux5~4                   ; 3       ;
3471
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux6~4                   ; 3       ;
3472
; T80se:z80_inst|T80:u0|F~32                                  ; 3       ;
3473
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux7~4                   ; 3       ;
3474
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux8~4                   ; 3       ;
3475
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux9~4                   ; 3       ;
3476
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux10~4                  ; 3       ;
3477
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux11~4                  ; 3       ;
3478
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux12~4                  ; 3       ;
3479
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux13~4                  ; 3       ;
3480
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux14~4                  ; 3       ;
3481
; T80se:z80_inst|Equal1~1                                     ; 3       ;
3482
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~242                   ; 3       ;
3483
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~241                   ; 3       ;
3484
; \random:rand_temp[3]                                        ; 3       ;
3485
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux102~1              ; 3       ;
3486
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~239                   ; 3       ;
3487
; \random:rand_temp[5]                                        ; 3       ;
3488
; \random:rand_temp[2]                                        ; 3       ;
3489
; T80se:z80_inst|T80:u0|Pre_XY_F_M[0]                         ; 3       ;
3490
; T80se:z80_inst|T80:u0|process_7~1                           ; 3       ;
3491
; T80se:z80_inst|T80:u0|IncDecZ                               ; 3       ;
3492
; \random:rand_temp[14]                                       ; 3       ;
3493
; \random:rand_temp[7]                                        ; 3       ;
3494
; \random:rand_temp[0]                                        ; 3       ;
3495
; DI_CPU[6]~39                                                ; 3       ;
3496
; DI_CPU[4]~38                                                ; 3       ;
3497
; DI_CPU~36                                                   ; 3       ;
3498
; DI_CPU~32                                                   ; 3       ;
3499
; DI_CPU~25                                                   ; 3       ;
3500
; DI_CPU[2]~21                                                ; 3       ;
3501
; DI_CPU~20                                                   ; 3       ;
3502
; DI_CPU~18                                                   ; 3       ;
3503
; \random:rand_temp[1]                                        ; 3       ;
3504
; DI_CPU~8                                                    ; 3       ;
3505
; T80se:z80_inst|T80:u0|process_3~1                           ; 3       ;
3506
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux272~7              ; 3       ;
3507
; T80se:z80_inst|T80:u0|T80_Reg:Regs|Mux15~4                  ; 3       ;
3508
; T80se:z80_inst|T80:u0|RegAddrA~9                            ; 3       ;
3509
; T80se:z80_inst|T80:u0|RegAddrA~6                            ; 3       ;
3510
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~238                   ; 3       ;
3511
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux272~2              ; 3       ;
3512
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux274~1              ; 3       ;
3513
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~230                   ; 3       ;
3514
; T80se:z80_inst|T80:u0|T80_ALU:alu|DAA_Q[6]~13               ; 3       ;
3515
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~228                   ; 3       ;
3516
; T80se:z80_inst|T80:u0|T80_ALU:alu|LessThan0~2               ; 3       ;
3517
; T80se:z80_inst|T80:u0|T80_ALU:alu|LessThan3~1               ; 3       ;
3518
; T80se:z80_inst|T80:u0|T80_ALU:alu|DAA_Q[4]~7                ; 3       ;
3519
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~224                   ; 3       ;
3520
; T80se:z80_inst|T80:u0|T80_ALU:alu|Mux7~2                    ; 3       ;
3521
; T80se:z80_inst|T80:u0|T80_ALU:alu|DAA_Q[3]~5                ; 3       ;
3522
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~220                   ; 3       ;
3523
; T80se:z80_inst|T80:u0|T80_ALU:alu|DAA_Q[2]~3                ; 3       ;
3524
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~219                   ; 3       ;
3525
; T80se:z80_inst|T80:u0|T80_ALU:alu|Mux7~1                    ; 3       ;
3526
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~217                   ; 3       ;
3527
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~215                   ; 3       ;
3528
; T80se:z80_inst|T80:u0|T80_ALU:alu|Mux22~1                   ; 3       ;
3529
; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[8]        ; 3       ;
3530
; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[7]        ; 3       ;
3531
; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[6]        ; 3       ;
3532
; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[5]        ; 3       ;
3533
; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[0]     ; 3       ;
3534
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux291~8              ; 3       ;
3535
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][7]              ; 3       ;
3536
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][7]              ; 3       ;
3537
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][7]              ; 3       ;
3538
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][7]              ; 3       ;
3539
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][7]              ; 3       ;
3540
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][7]              ; 3       ;
3541
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][7]              ; 3       ;
3542
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][7]              ; 3       ;
3543
; T80se:z80_inst|T80:u0|TmpAddr[15]                           ; 3       ;
3544
; T80se:z80_inst|T80:u0|TmpAddr[14]                           ; 3       ;
3545
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][6]              ; 3       ;
3546
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][6]              ; 3       ;
3547
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][6]              ; 3       ;
3548
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][6]              ; 3       ;
3549
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][6]              ; 3       ;
3550
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][6]              ; 3       ;
3551
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][6]              ; 3       ;
3552
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][6]              ; 3       ;
3553
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][5]              ; 3       ;
3554
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][5]              ; 3       ;
3555
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][5]              ; 3       ;
3556
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][5]              ; 3       ;
3557
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][5]              ; 3       ;
3558
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][5]              ; 3       ;
3559
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][5]              ; 3       ;
3560
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][5]              ; 3       ;
3561
; T80se:z80_inst|T80:u0|TmpAddr[13]                           ; 3       ;
3562
; T80se:z80_inst|T80:u0|TmpAddr[12]                           ; 3       ;
3563
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][4]              ; 3       ;
3564
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][4]              ; 3       ;
3565
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][4]              ; 3       ;
3566
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][4]              ; 3       ;
3567
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][4]              ; 3       ;
3568
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][4]              ; 3       ;
3569
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][4]              ; 3       ;
3570
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][4]              ; 3       ;
3571
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][3]              ; 3       ;
3572
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][3]              ; 3       ;
3573
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][3]              ; 3       ;
3574
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][3]              ; 3       ;
3575
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][3]              ; 3       ;
3576
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][3]              ; 3       ;
3577
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][3]              ; 3       ;
3578
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][3]              ; 3       ;
3579
; T80se:z80_inst|T80:u0|TmpAddr[11]                           ; 3       ;
3580
; T80se:z80_inst|T80:u0|TmpAddr[10]                           ; 3       ;
3581
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][2]              ; 3       ;
3582
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][2]              ; 3       ;
3583
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][2]              ; 3       ;
3584
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][2]              ; 3       ;
3585
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][2]              ; 3       ;
3586
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][2]              ; 3       ;
3587
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][2]              ; 3       ;
3588
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][2]              ; 3       ;
3589
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][1]              ; 3       ;
3590
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][1]              ; 3       ;
3591
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][1]              ; 3       ;
3592
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][1]              ; 3       ;
3593
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][1]              ; 3       ;
3594
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][1]              ; 3       ;
3595
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][1]              ; 3       ;
3596
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][1]              ; 3       ;
3597
; T80se:z80_inst|T80:u0|TmpAddr[9]                            ; 3       ;
3598
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][0]              ; 3       ;
3599
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][0]              ; 3       ;
3600
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][0]              ; 3       ;
3601
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][0]              ; 3       ;
3602
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][0]              ; 3       ;
3603
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][0]              ; 3       ;
3604
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][0]              ; 3       ;
3605
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][0]              ; 3       ;
3606
; T80se:z80_inst|T80:u0|TmpAddr[8]                            ; 3       ;
3607
; T80se:z80_inst|T80:u0|R[7]                                  ; 3       ;
3608
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[3][7]              ; 3       ;
3609
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[0][7]              ; 3       ;
3610
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[1][7]              ; 3       ;
3611
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[2][7]              ; 3       ;
3612
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[7][7]              ; 3       ;
3613
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[4][7]              ; 3       ;
3614
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[6][7]              ; 3       ;
3615
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[5][7]              ; 3       ;
3616
; T80se:z80_inst|T80:u0|TmpAddr[7]                            ; 3       ;
3617
; T80se:z80_inst|T80:u0|TmpAddr[6]                            ; 3       ;
3618
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[3][6]              ; 3       ;
3619
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[0][6]              ; 3       ;
3620
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[1][6]              ; 3       ;
3621
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[2][6]              ; 3       ;
3622
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[7][6]              ; 3       ;
3623
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[4][6]              ; 3       ;
3624
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[6][6]              ; 3       ;
3625
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[5][6]              ; 3       ;
3626
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[3][5]              ; 3       ;
3627
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[0][5]              ; 3       ;
3628
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[1][5]              ; 3       ;
3629
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[2][5]              ; 3       ;
3630
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[7][5]              ; 3       ;
3631
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[4][5]              ; 3       ;
3632
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[6][5]              ; 3       ;
3633
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[5][5]              ; 3       ;
3634
; T80se:z80_inst|T80:u0|TmpAddr[5]                            ; 3       ;
3635
; T80se:z80_inst|T80:u0|TmpAddr[4]                            ; 3       ;
3636
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[3][4]              ; 3       ;
3637
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[0][4]              ; 3       ;
3638
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[1][4]              ; 3       ;
3639
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[2][4]              ; 3       ;
3640
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[7][4]              ; 3       ;
3641
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[4][4]              ; 3       ;
3642
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[6][4]              ; 3       ;
3643
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[5][4]              ; 3       ;
3644
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[3][3]              ; 3       ;
3645
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[0][3]              ; 3       ;
3646
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[1][3]              ; 3       ;
3647
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[2][3]              ; 3       ;
3648
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[7][3]              ; 3       ;
3649
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[4][3]              ; 3       ;
3650
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[6][3]              ; 3       ;
3651
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[5][3]              ; 3       ;
3652
; T80se:z80_inst|T80:u0|TmpAddr[3]                            ; 3       ;
3653
; T80se:z80_inst|T80:u0|TmpAddr[2]                            ; 3       ;
3654
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[3][2]              ; 3       ;
3655
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[0][2]              ; 3       ;
3656
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[1][2]              ; 3       ;
3657
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[2][2]              ; 3       ;
3658
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[7][2]              ; 3       ;
3659
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[4][2]              ; 3       ;
3660
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[6][2]              ; 3       ;
3661
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[5][2]              ; 3       ;
3662
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[3][1]              ; 3       ;
3663
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[0][1]              ; 3       ;
3664
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[1][1]              ; 3       ;
3665
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[2][1]              ; 3       ;
3666
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[7][1]              ; 3       ;
3667
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[4][1]              ; 3       ;
3668
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[6][1]              ; 3       ;
3669
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[5][1]              ; 3       ;
3670
; T80se:z80_inst|T80:u0|TmpAddr[1]                            ; 3       ;
3671
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~168                   ; 3       ;
3672
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~162                   ; 3       ;
3673
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux262~4              ; 3       ;
3674
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~147                   ; 3       ;
3675
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~140                   ; 3       ;
3676
; T80se:z80_inst|T80:u0|T80_ALU:alu|Q_t~135                   ; 3       ;
3677
; T80se:z80_inst|T80:u0|TmpAddr[0]                            ; 3       ;
3678
; T80se:z80_inst|T80:u0|process_1~6                           ; 3       ;
3679
; T80se:z80_inst|T80:u0|A[7]~2                                ; 3       ;
3680
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux217~1              ; 3       ;
3681
; T80se:z80_inst|T80:u0|A[10]~1                               ; 3       ;
3682
; T80se:z80_inst|T80:u0|NextIs_XY_Fetch~0                     ; 3       ;
3683
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Set_Addr_To~0         ; 3       ;
3684
; T80se:z80_inst|T80:u0|Equal3~4                              ; 3       ;
3685
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux296~0              ; 3       ;
3686
; T80se:z80_inst|T80:u0|T80_MCode:mcode|Mux297~1              ; 3       ;
3687
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[3][0]              ; 3       ;
3688
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[0][0]              ; 3       ;
3689
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[1][0]              ; 3       ;
3690
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[2][0]              ; 3       ;
3691
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[7][0]              ; 3       ;
3692
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[4][0]              ; 3       ;
3693
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[6][0]              ; 3       ;
3694
; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsL[5][0]              ; 3       ;
3695
; LCDON_reg~0                                                 ; 3       ;
3696
; T80se:z80_inst|WR_n                                         ; 3       ;
3697
; clk_div:clkdiv_inst|count_1Mhz[4]                           ; 3       ;
3698
; clk_div:clkdiv_inst|count_1Mhz[3]                           ; 3       ;
3699
; T80se:z80_inst|T80:u0|T80_ALU:alu|Add1~2                    ; 3       ;
3700
; T80se:z80_inst|T80:u0|R[6]                                  ; 3       ;
3701
; T80se:z80_inst|T80:u0|R[5]                                  ; 3       ;
3702
; T80se:z80_inst|T80:u0|R[4]                                  ; 3       ;
3703
; T80se:z80_inst|T80:u0|R[3]                                  ; 3       ;
3704
; T80se:z80_inst|T80:u0|R[2]                                  ; 3       ;
3705
; T80se:z80_inst|T80:u0|R[1]                                  ; 3       ;
3706
; T80se:z80_inst|T80:u0|R[0]                                  ; 3       ;
3707
; CLOCK_50~input                                              ; 2       ;
3708
; lcdvram[15][7]                                              ; 2       ;
3709
; lcdvram[12][7]                                              ; 2       ;
3710
; lcdvram[13][7]                                              ; 2       ;
3711
; lcdvram[14][7]                                              ; 2       ;
3712
; lcdvram[2][7]                                               ; 2       ;
3713
; lcdvram[3][7]                                               ; 2       ;
3714
; lcdvram[0][7]                                               ; 2       ;
3715
; lcdvram[1][7]                                               ; 2       ;
3716
; lcdvram[11][7]                                              ; 2       ;
3717
; lcdvram[8][7]                                               ; 2       ;
3718
; lcdvram[10][7]                                              ; 2       ;
3719
; lcdvram[9][7]                                               ; 2       ;
3720
; lcdvram[7][7]                                               ; 2       ;
3721
; lcdvram[4][7]                                               ; 2       ;
3722
; lcdvram[5][7]                                               ; 2       ;
3723
; lcdvram[6][7]                                               ; 2       ;
3724
; lcdvram[31][7]                                              ; 2       ;
3725
; lcdvram[19][7]                                              ; 2       ;
3726
; lcdvram[27][7]                                              ; 2       ;
3727
; lcdvram[23][7]                                              ; 2       ;
3728
; lcdvram[28][7]                                              ; 2       ;
3729
; lcdvram[16][7]                                              ; 2       ;
3730
; lcdvram[20][7]                                              ; 2       ;
3731
; lcdvram[24][7]                                              ; 2       ;
3732
; lcdvram[30][7]                                              ; 2       ;
3733
; lcdvram[18][7]                                              ; 2       ;
3734
; lcdvram[22][7]                                              ; 2       ;
3735
; lcdvram[26][7]                                              ; 2       ;
3736
; lcdvram[29][7]                                              ; 2       ;
3737
; lcdvram[17][7]                                              ; 2       ;
3738
; lcdvram[25][7]                                              ; 2       ;
3739
; lcdvram[21][7]                                              ; 2       ;
3740
; lcdvram[15][6]                                              ; 2       ;
3741
; lcdvram[12][6]                                              ; 2       ;
3742
; lcdvram[14][6]                                              ; 2       ;
3743
; lcdvram[13][6]                                              ; 2       ;
3744
; lcdvram[3][6]                                               ; 2       ;
3745
; lcdvram[0][6]                                               ; 2       ;
3746
; lcdvram[1][6]                                               ; 2       ;
3747
; lcdvram[2][6]                                               ; 2       ;
3748
; lcdvram[7][6]                                               ; 2       ;
3749
; lcdvram[4][6]                                               ; 2       ;
3750
; lcdvram[6][6]                                               ; 2       ;
3751
; lcdvram[5][6]                                               ; 2       ;
3752
; lcdvram[11][6]                                              ; 2       ;
3753
; lcdvram[8][6]                                               ; 2       ;
3754
; lcdvram[9][6]                                               ; 2       ;
3755
; lcdvram[10][6]                                              ; 2       ;
3756
; lcdvram[31][6]                                              ; 2       ;
3757
; lcdvram[19][6]                                              ; 2       ;
3758
; lcdvram[23][6]                                              ; 2       ;
3759
; lcdvram[27][6]                                              ; 2       ;
3760
; lcdvram[28][6]                                              ; 2       ;
3761
; lcdvram[16][6]                                              ; 2       ;
3762
; lcdvram[24][6]                                              ; 2       ;
3763
; lcdvram[20][6]                                              ; 2       ;
3764
; lcdvram[29][6]                                              ; 2       ;
3765
; lcdvram[17][6]                                              ; 2       ;
3766
; lcdvram[21][6]                                              ; 2       ;
3767
; lcdvram[25][6]                                              ; 2       ;
3768
; lcdvram[30][6]                                              ; 2       ;
3769
; lcdvram[18][6]                                              ; 2       ;
3770
; lcdvram[26][6]                                              ; 2       ;
3771
; lcdvram[22][6]                                              ; 2       ;
3772
; lcdvram[15][5]                                              ; 2       ;
3773
; lcdvram[12][5]                                              ; 2       ;
3774
; lcdvram[13][5]                                              ; 2       ;
3775
; lcdvram[11][5]                                              ; 2       ;
3776
; lcdvram[8][5]                                               ; 2       ;
3777
; lcdvram[10][5]                                              ; 2       ;
3778
; lcdvram[9][5]                                               ; 2       ;
3779
; lcdvram[14][5]                                              ; 2       ;
3780
; lcdvram[1][5]                                               ; 2       ;
3781
; lcdvram[3][5]                                               ; 2       ;
3782
; lcdvram[0][5]                                               ; 2       ;
3783
; lcdvram[2][5]                                               ; 2       ;
3784
; lcdvram[7][5]                                               ; 2       ;
3785
; lcdvram[4][5]                                               ; 2       ;
3786
; lcdvram[5][5]                                               ; 2       ;
3787
; lcdvram[6][5]                                               ; 2       ;
3788
; lcdvram[31][5]                                              ; 2       ;
3789
; lcdvram[19][5]                                              ; 2       ;
3790
; lcdvram[27][5]                                              ; 2       ;
3791
; lcdvram[23][5]                                              ; 2       ;
3792
; lcdvram[28][5]                                              ; 2       ;
3793
; lcdvram[16][5]                                              ; 2       ;
3794
; lcdvram[20][5]                                              ; 2       ;
3795
; lcdvram[24][5]                                              ; 2       ;
3796
; lcdvram[30][5]                                              ; 2       ;
3797
; lcdvram[18][5]                                              ; 2       ;
3798
; lcdvram[22][5]                                              ; 2       ;
3799
; lcdvram[26][5]                                              ; 2       ;
3800
; lcdvram[29][5]                                              ; 2       ;
3801
; lcdvram[17][5]                                              ; 2       ;
3802
; lcdvram[25][5]                                              ; 2       ;
3803
; lcdvram[21][5]                                              ; 2       ;
3804
; lcdvram[15][4]                                              ; 2       ;
3805
; lcdvram[12][4]                                              ; 2       ;
3806
; lcdvram[14][4]                                              ; 2       ;
3807
; lcdvram[13][4]                                              ; 2       ;
3808
; lcdvram[3][4]                                               ; 2       ;
3809
; lcdvram[0][4]                                               ; 2       ;
3810
; lcdvram[1][4]                                               ; 2       ;
3811
; lcdvram[2][4]                                               ; 2       ;
3812
; lcdvram[7][4]                                               ; 2       ;
3813
; lcdvram[4][4]                                               ; 2       ;
3814
; lcdvram[6][4]                                               ; 2       ;
3815
; lcdvram[5][4]                                               ; 2       ;
3816
; lcdvram[11][4]                                              ; 2       ;
3817
; lcdvram[8][4]                                               ; 2       ;
3818
; lcdvram[9][4]                                               ; 2       ;
3819
; lcdvram[10][4]                                              ; 2       ;
3820
; lcdvram[31][4]                                              ; 2       ;
3821
; lcdvram[19][4]                                              ; 2       ;
3822
; lcdvram[23][4]                                              ; 2       ;
3823
; lcdvram[27][4]                                              ; 2       ;
3824
; lcdvram[28][4]                                              ; 2       ;
3825
; lcdvram[16][4]                                              ; 2       ;
3826
; lcdvram[24][4]                                              ; 2       ;
3827
; lcdvram[20][4]                                              ; 2       ;
3828
; lcdvram[29][4]                                              ; 2       ;
3829
; lcdvram[17][4]                                              ; 2       ;
3830
; lcdvram[21][4]                                              ; 2       ;
3831
; lcdvram[25][4]                                              ; 2       ;
3832
; lcdvram[30][4]                                              ; 2       ;
3833
; lcdvram[18][4]                                              ; 2       ;
3834
; lcdvram[26][4]                                              ; 2       ;
3835
; lcdvram[22][4]                                              ; 2       ;
3836
; lcdvram[15][0]                                              ; 2       ;
3837
; lcdvram[12][0]                                              ; 2       ;
3838
+-------------------------------------------------------------+---------+
3839
 
3840
 
3841
+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
3842
; Fitter RAM Summary                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                    ;
3843
+------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+--------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+------+-----------------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------+-----------------+-----------------+
3844
; Name                                                                                     ; Type ; Mode             ; Clock Mode   ; Port A Depth ; Port A Width ; Port B Depth ; Port B Width ; Port A Input Registers ; Port A Output Registers ; Port B Input Registers ; Port B Output Registers ; Size   ; Implementation Port A Depth ; Implementation Port A Width ; Implementation Port B Depth ; Implementation Port B Width ; Implementation Bits ; M9Ks ; MIF                   ; Location                                                                                                                                                                                                                                                       ; Mixed Width RDW Mode ; Port A RDW Mode ; Port B RDW Mode ;
3845
+------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+--------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+------+-----------------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------+-----------------+-----------------+
3846
; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ALTSYNCRAM   ; AUTO ; Simple Dual Port ; Dual Clocks  ; 2048         ; 8            ; 2048         ; 8            ; yes                    ; no                      ; yes                    ; no                      ; 16384  ; 2048                        ; 8                           ; 2048                        ; 8                           ; 16384               ; 2    ; ./ROMdata/lat9-08.mif ; M9K_X51_Y35_N0, M9K_X51_Y34_N0                                                                                                                                                                                                                                 ; Don't care           ; Old data        ; Old data        ;
3847
; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ALTSYNCRAM   ; AUTO ; ROM              ; Single Clock ; 16384        ; 8            ; --           ; --           ; yes                    ; yes                     ; --                     ; --                      ; 131072 ; 16384                       ; 8                           ; --                          ; --                          ; 131072              ; 16   ; ../ROMdata/rom.hex    ; M9K_X51_Y28_N0, M9K_X64_Y35_N0, M9K_X37_Y28_N0, M9K_X15_Y35_N0, M9K_X51_Y29_N0, M9K_X51_Y36_N0, M9K_X37_Y29_N0, M9K_X64_Y36_N0, M9K_X51_Y25_N0, M9K_X51_Y27_N0, M9K_X64_Y32_N0, M9K_X37_Y35_N0, M9K_X64_Y34_N0, M9K_X37_Y36_N0, M9K_X51_Y26_N0, M9K_X37_Y30_N0 ; Don't care           ; Old data        ; Old data        ;
3848
; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Dual Clocks  ; 6143         ; 8            ; 6143         ; 8            ; yes                    ; no                      ; yes                    ; no                      ; 49144  ; 6143                        ; 8                           ; 6143                        ; 8                           ; 49144               ; 8    ; None                  ; M9K_X37_Y32_N0, M9K_X51_Y30_N0, M9K_X37_Y34_N0, M9K_X51_Y33_N0, M9K_X51_Y31_N0, M9K_X37_Y31_N0, M9K_X51_Y32_N0, M9K_X37_Y33_N0                                                                                                                                 ; Don't care           ; Old data        ; Old data        ;
3849
+------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+--------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+------+-----------------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------+-----------------+-----------------+
3850
Note: Fitter may spread logical memories into multiple blocks to improve timing. The actual required RAM blocks can be found in the Fitter Resource Usage section.
3851
 
3852
 
3853
RAM content values are presented in the following format: (Binary) (Octal) (Decimal) (Hexadecimal)
3854
+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
3855
; |Z80SOC|charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ALTSYNCRAM                                                                                                                                                                   ;
3856
+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
3857
;   Addr   ;              +0              ;              +1              ;              +2              ;              +3              ;              +4              ;              +5              ;              +6              ;              +7              ;
3858
+----------+------------------------------+------------------------------+------------------------------+------------------------------+------------------------------+------------------------------+------------------------------+------------------------------+
3859
;0;(00111111) (77) (63) (3F)    ;(01100001) (141) (97) (61)   ;(01001100) (114) (76) (4C)   ;(01111001) (171) (121) (79)   ;(01110011) (163) (115) (73)   ;(01111111) (177) (127) (7F)   ;(01110011) (163) (115) (73)   ;(00111111) (77) (63) (3F)   ;
3860
;8;(00000000) (0) (0) (00)    ;(00111011) (73) (59) (3B)   ;(01101110) (156) (110) (6E)   ;(00000000) (0) (0) (00)   ;(00111011) (73) (59) (3B)   ;(01101110) (156) (110) (6E)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
3861
;16;(00111011) (73) (59) (3B)    ;(01101100) (154) (108) (6C)   ;(01101100) (154) (108) (6C)   ;(01101110) (156) (110) (6E)   ;(01101100) (154) (108) (6C)   ;(01101100) (154) (108) (6C)   ;(00111011) (73) (59) (3B)   ;(00000000) (0) (0) (00)   ;
3862
;24;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00110111) (67) (55) (37)   ;(01101100) (154) (108) (6C)   ;(01101111) (157) (111) (6F)   ;(01101100) (154) (108) (6C)   ;(00110111) (67) (55) (37)   ;(00000000) (0) (0) (00)   ;
3863
;32;(00001000) (10) (8) (08)    ;(00011100) (34) (28) (1C)   ;(00111110) (76) (62) (3E)   ;(01111111) (177) (127) (7F)   ;(00111110) (76) (62) (3E)   ;(00011100) (34) (28) (1C)   ;(00001000) (10) (8) (08)   ;(00000000) (0) (0) (00)   ;
3864
;40;(01010000) (120) (80) (50)    ;(01010000) (120) (80) (50)   ;(01110000) (160) (112) (70)   ;(01010111) (127) (87) (57)   ;(01010010) (122) (82) (52)   ;(00000010) (2) (2) (02)   ;(00000010) (2) (2) (02)   ;(00000010) (2) (2) (02)   ;
3865
;48;(01110000) (160) (112) (70)    ;(01000000) (100) (64) (40)   ;(01100000) (140) (96) (60)   ;(01000111) (107) (71) (47)   ;(01000100) (104) (68) (44)   ;(00000110) (6) (6) (06)   ;(00000100) (4) (4) (04)   ;(00000100) (4) (4) (04)   ;
3866
;56;(00110000) (60) (48) (30)    ;(01000000) (100) (64) (40)   ;(01000000) (100) (64) (40)   ;(01000110) (106) (70) (46)   ;(00110101) (65) (53) (35)   ;(00000110) (6) (6) (06)   ;(00000101) (5) (5) (05)   ;(00000101) (5) (5) (05)   ;
3867
;64;(01000000) (100) (64) (40)    ;(01000000) (100) (64) (40)   ;(01000000) (100) (64) (40)   ;(01000111) (107) (71) (47)   ;(01110100) (164) (116) (74)   ;(00000110) (6) (6) (06)   ;(00000100) (4) (4) (04)   ;(00000100) (4) (4) (04)   ;
3868
;72;(00010001) (21) (17) (11)    ;(01000100) (104) (68) (44)   ;(00010001) (21) (17) (11)   ;(01000100) (104) (68) (44)   ;(00010001) (21) (17) (11)   ;(01000100) (104) (68) (44)   ;(00010001) (21) (17) (11)   ;(01000100) (104) (68) (44)   ;
3869
;80;(00101010) (52) (42) (2A)    ;(01010101) (125) (85) (55)   ;(00101010) (52) (42) (2A)   ;(01010101) (125) (85) (55)   ;(00101010) (52) (42) (2A)   ;(01010101) (125) (85) (55)   ;(00101010) (52) (42) (2A)   ;(01010101) (125) (85) (55)   ;
3870
;88;(01110111) (167) (119) (77)    ;(01011101) (135) (93) (5D)   ;(01110111) (167) (119) (77)   ;(01011101) (135) (93) (5D)   ;(01110111) (167) (119) (77)   ;(01011101) (135) (93) (5D)   ;(01110111) (167) (119) (77)   ;(01011101) (135) (93) (5D)   ;
3871
;96;(01111111) (177) (127) (7F)    ;(01111111) (177) (127) (7F)   ;(01111111) (177) (127) (7F)   ;(01111111) (177) (127) (7F)   ;(01111111) (177) (127) (7F)   ;(01111111) (177) (127) (7F)   ;(01111111) (177) (127) (7F)   ;(01111111) (177) (127) (7F)   ;
3872
;104;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(01111111) (177) (127) (7F)   ;(01111111) (177) (127) (7F)   ;(01111111) (177) (127) (7F)   ;(01111111) (177) (127) (7F)   ;
3873
;112;(01111111) (177) (127) (7F)    ;(01111111) (177) (127) (7F)   ;(01111111) (177) (127) (7F)   ;(01111111) (177) (127) (7F)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
3874
;120;(01111000) (170) (120) (78)    ;(01111000) (170) (120) (78)   ;(01111000) (170) (120) (78)   ;(01111000) (170) (120) (78)   ;(01111000) (170) (120) (78)   ;(01111000) (170) (120) (78)   ;(01111000) (170) (120) (78)   ;(01111000) (170) (120) (78)   ;
3875
;128;(00000111) (7) (7) (07)    ;(00000111) (7) (7) (07)   ;(00000111) (7) (7) (07)   ;(00000111) (7) (7) (07)   ;(00000111) (7) (7) (07)   ;(00000111) (7) (7) (07)   ;(00000111) (7) (7) (07)   ;(00000111) (7) (7) (07)   ;
3876
;136;(01001000) (110) (72) (48)    ;(01101000) (150) (104) (68)   ;(01111000) (170) (120) (78)   ;(01011010) (132) (90) (5A)   ;(01001010) (112) (74) (4A)   ;(00000010) (2) (2) (02)   ;(00000010) (2) (2) (02)   ;(00000011) (3) (3) (03)   ;
3877
;144;(01010000) (120) (80) (50)    ;(01010000) (120) (80) (50)   ;(01010000) (120) (80) (50)   ;(01010111) (127) (87) (57)   ;(00100010) (42) (34) (22)   ;(00000010) (2) (2) (02)   ;(00000010) (2) (2) (02)   ;(00000010) (2) (2) (02)   ;
3878
;152;(00001100) (14) (12) (0C)    ;(00011000) (30) (24) (18)   ;(00110000) (60) (48) (30)   ;(00011000) (30) (24) (18)   ;(00001100) (14) (12) (0C)   ;(00000000) (0) (0) (00)   ;(01111110) (176) (126) (7E)   ;(00000000) (0) (0) (00)   ;
3879
;160;(00110000) (60) (48) (30)    ;(00011000) (30) (24) (18)   ;(00001100) (14) (12) (0C)   ;(00011000) (30) (24) (18)   ;(00110000) (60) (48) (30)   ;(00000000) (0) (0) (00)   ;(01111110) (176) (126) (7E)   ;(00000000) (0) (0) (00)   ;
3880
;168;(00000000) (0) (0) (00)    ;(00000110) (6) (6) (06)   ;(01111111) (177) (127) (7F)   ;(00001100) (14) (12) (0C)   ;(00011000) (30) (24) (18)   ;(01111111) (177) (127) (7F)   ;(00110000) (60) (48) (30)   ;(00000000) (0) (0) (00)   ;
3881
;176;(00000001) (1) (1) (01)    ;(00000111) (7) (7) (07)   ;(00011111) (37) (31) (1F)   ;(01111111) (177) (127) (7F)   ;(00011111) (37) (31) (1F)   ;(00000111) (7) (7) (07)   ;(00000001) (1) (1) (01)   ;(00000000) (0) (0) (00)   ;
3882
;184;(01000000) (100) (64) (40)    ;(01110000) (160) (112) (70)   ;(01111100) (174) (124) (7C)   ;(01111111) (177) (127) (7F)   ;(01111100) (174) (124) (7C)   ;(01110000) (160) (112) (70)   ;(01000000) (100) (64) (40)   ;(00000000) (0) (0) (00)   ;
3883
;192;(00001100) (14) (12) (0C)    ;(00011110) (36) (30) (1E)   ;(00111111) (77) (63) (3F)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(00000000) (0) (0) (00)   ;
3884
;200;(00001100) (14) (12) (0C)    ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(00111111) (77) (63) (3F)   ;(00011110) (36) (30) (1E)   ;(00001100) (14) (12) (0C)   ;(00000000) (0) (0) (00)   ;
3885
;208;(00000000) (0) (0) (00)    ;(00001100) (14) (12) (0C)   ;(00000110) (6) (6) (06)   ;(01111111) (177) (127) (7F)   ;(00000110) (6) (6) (06)   ;(00001100) (14) (12) (0C)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
3886
;216;(00000000) (0) (0) (00)    ;(00011000) (30) (24) (18)   ;(00110000) (60) (48) (30)   ;(01111111) (177) (127) (7F)   ;(00110000) (60) (48) (30)   ;(00011000) (30) (24) (18)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
3887
;224;(00001100) (14) (12) (0C)    ;(00011110) (36) (30) (1E)   ;(00111111) (77) (63) (3F)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(00111111) (77) (63) (3F)   ;(00011110) (36) (30) (1E)   ;(00001100) (14) (12) (0C)   ;
3888
;232;(00000000) (0) (0) (00)    ;(00010010) (22) (18) (12)   ;(00110011) (63) (51) (33)   ;(01111111) (177) (127) (7F)   ;(00110011) (63) (51) (33)   ;(00010010) (22) (18) (12)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
3889
;240;(00000011) (3) (3) (03)    ;(00000011) (3) (3) (03)   ;(00011011) (33) (27) (1B)   ;(00110011) (63) (51) (33)   ;(01111111) (177) (127) (7F)   ;(00110000) (60) (48) (30)   ;(00011000) (30) (24) (18)   ;(00000000) (0) (0) (00)   ;
3890
;248;(00000000) (0) (0) (00)    ;(01100000) (140) (96) (60)   ;(00111110) (76) (62) (3E)   ;(00110111) (67) (55) (37)   ;(00110110) (66) (54) (36)   ;(00110110) (66) (54) (36)   ;(00110110) (66) (54) (36)   ;(00000000) (0) (0) (00)   ;
3891
;256;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
3892
;264;(00011000) (30) (24) (18)    ;(00111100) (74) (60) (3C)   ;(00111100) (74) (60) (3C)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00000000) (0) (0) (00)   ;(00011000) (30) (24) (18)   ;(00000000) (0) (0) (00)   ;
3893
;272;(00110110) (66) (54) (36)    ;(00110110) (66) (54) (36)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
3894
;280;(00110110) (66) (54) (36)    ;(00110110) (66) (54) (36)   ;(01111111) (177) (127) (7F)   ;(00110110) (66) (54) (36)   ;(01111111) (177) (127) (7F)   ;(00110110) (66) (54) (36)   ;(00110110) (66) (54) (36)   ;(00000000) (0) (0) (00)   ;
3895
;288;(00011000) (30) (24) (18)    ;(00111110) (76) (62) (3E)   ;(01100000) (140) (96) (60)   ;(00111100) (74) (60) (3C)   ;(00000110) (6) (6) (06)   ;(01111100) (174) (124) (7C)   ;(00011000) (30) (24) (18)   ;(00000000) (0) (0) (00)   ;
3896
;296;(00000000) (0) (0) (00)    ;(01100011) (143) (99) (63)   ;(01100110) (146) (102) (66)   ;(00001100) (14) (12) (0C)   ;(00011000) (30) (24) (18)   ;(00110011) (63) (51) (33)   ;(01100011) (143) (99) (63)   ;(00000000) (0) (0) (00)   ;
3897
;304;(00011100) (34) (28) (1C)    ;(00110110) (66) (54) (36)   ;(00011100) (34) (28) (1C)   ;(00111011) (73) (59) (3B)   ;(01101110) (156) (110) (6E)   ;(01100110) (146) (102) (66)   ;(00111011) (73) (59) (3B)   ;(00000000) (0) (0) (00)   ;
3898
;312;(00011000) (30) (24) (18)    ;(00011000) (30) (24) (18)   ;(00110000) (60) (48) (30)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
3899
;320;(00001100) (14) (12) (0C)    ;(00011000) (30) (24) (18)   ;(00110000) (60) (48) (30)   ;(00110000) (60) (48) (30)   ;(00110000) (60) (48) (30)   ;(00011000) (30) (24) (18)   ;(00001100) (14) (12) (0C)   ;(00000000) (0) (0) (00)   ;
3900
;328;(00110000) (60) (48) (30)    ;(00011000) (30) (24) (18)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(00011000) (30) (24) (18)   ;(00110000) (60) (48) (30)   ;(00000000) (0) (0) (00)   ;
3901
;336;(00000000) (0) (0) (00)    ;(00110011) (63) (51) (33)   ;(00011110) (36) (30) (1E)   ;(01111111) (177) (127) (7F)   ;(00011110) (36) (30) (1E)   ;(00110011) (63) (51) (33)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
3902
;344;(00000000) (0) (0) (00)    ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(01111110) (176) (126) (7E)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
3903
;352;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00110000) (60) (48) (30)   ;
3904
;360;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(01111110) (176) (126) (7E)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
3905
;368;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00000000) (0) (0) (00)   ;
3906
;376;(00000000) (0) (0) (00)    ;(00000011) (3) (3) (03)   ;(00000110) (6) (6) (06)   ;(00001100) (14) (12) (0C)   ;(00011000) (30) (24) (18)   ;(00110000) (60) (48) (30)   ;(01100000) (140) (96) (60)   ;(00000000) (0) (0) (00)   ;
3907
;384;(00111110) (76) (62) (3E)    ;(01100011) (143) (99) (63)   ;(01100011) (143) (99) (63)   ;(01101011) (153) (107) (6B)   ;(01100011) (143) (99) (63)   ;(01100011) (143) (99) (63)   ;(00111110) (76) (62) (3E)   ;(00000000) (0) (0) (00)   ;
3908
;392;(00011000) (30) (24) (18)    ;(00111000) (70) (56) (38)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(01111110) (176) (126) (7E)   ;(00000000) (0) (0) (00)   ;
3909
;400;(00111100) (74) (60) (3C)    ;(01100110) (146) (102) (66)   ;(00000110) (6) (6) (06)   ;(00011100) (34) (28) (1C)   ;(00110000) (60) (48) (30)   ;(01100110) (146) (102) (66)   ;(01111110) (176) (126) (7E)   ;(00000000) (0) (0) (00)   ;
3910
;408;(00111100) (74) (60) (3C)    ;(01100110) (146) (102) (66)   ;(00000110) (6) (6) (06)   ;(00011100) (34) (28) (1C)   ;(00000110) (6) (6) (06)   ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
3911
;416;(00001110) (16) (14) (0E)    ;(00011110) (36) (30) (1E)   ;(00110110) (66) (54) (36)   ;(01100110) (146) (102) (66)   ;(01111111) (177) (127) (7F)   ;(00000110) (6) (6) (06)   ;(00001111) (17) (15) (0F)   ;(00000000) (0) (0) (00)   ;
3912
;424;(01111110) (176) (126) (7E)    ;(01100000) (140) (96) (60)   ;(01111100) (174) (124) (7C)   ;(00000110) (6) (6) (06)   ;(00000110) (6) (6) (06)   ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
3913
;432;(00011100) (34) (28) (1C)    ;(00110000) (60) (48) (30)   ;(01100000) (140) (96) (60)   ;(01111100) (174) (124) (7C)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
3914
;440;(01111110) (176) (126) (7E)    ;(01100110) (146) (102) (66)   ;(00000110) (6) (6) (06)   ;(00001100) (14) (12) (0C)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00000000) (0) (0) (00)   ;
3915
;448;(00111100) (74) (60) (3C)    ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
3916
;456;(00111100) (74) (60) (3C)    ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111110) (76) (62) (3E)   ;(00000110) (6) (6) (06)   ;(00001100) (14) (12) (0C)   ;(00111000) (70) (56) (38)   ;(00000000) (0) (0) (00)   ;
3917
;464;(00000000) (0) (0) (00)    ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00000000) (0) (0) (00)   ;
3918
;472;(00000000) (0) (0) (00)    ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00110000) (60) (48) (30)   ;
3919
;480;(00001100) (14) (12) (0C)    ;(00011000) (30) (24) (18)   ;(00110000) (60) (48) (30)   ;(01100000) (140) (96) (60)   ;(00110000) (60) (48) (30)   ;(00011000) (30) (24) (18)   ;(00001100) (14) (12) (0C)   ;(00000000) (0) (0) (00)   ;
3920
;488;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(01111110) (176) (126) (7E)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(01111110) (176) (126) (7E)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
3921
;496;(00110000) (60) (48) (30)    ;(00011000) (30) (24) (18)   ;(00001100) (14) (12) (0C)   ;(00000110) (6) (6) (06)   ;(00001100) (14) (12) (0C)   ;(00011000) (30) (24) (18)   ;(00110000) (60) (48) (30)   ;(00000000) (0) (0) (00)   ;
3922
;504;(00111100) (74) (60) (3C)    ;(01100110) (146) (102) (66)   ;(00000110) (6) (6) (06)   ;(00001100) (14) (12) (0C)   ;(00011000) (30) (24) (18)   ;(00000000) (0) (0) (00)   ;(00011000) (30) (24) (18)   ;(00000000) (0) (0) (00)   ;
3923
;512;(00111110) (76) (62) (3E)    ;(01100011) (143) (99) (63)   ;(01101111) (157) (111) (6F)   ;(01101111) (157) (111) (6F)   ;(01101111) (157) (111) (6F)   ;(01100000) (140) (96) (60)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
3924
;520;(00011000) (30) (24) (18)    ;(00111100) (74) (60) (3C)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01111110) (176) (126) (7E)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00000000) (0) (0) (00)   ;
3925
;528;(01111110) (176) (126) (7E)    ;(00110011) (63) (51) (33)   ;(00110011) (63) (51) (33)   ;(00111110) (76) (62) (3E)   ;(00110011) (63) (51) (33)   ;(00110011) (63) (51) (33)   ;(01111110) (176) (126) (7E)   ;(00000000) (0) (0) (00)   ;
3926
;536;(00011110) (36) (30) (1E)    ;(00110011) (63) (51) (33)   ;(01100000) (140) (96) (60)   ;(01100000) (140) (96) (60)   ;(01100000) (140) (96) (60)   ;(00110011) (63) (51) (33)   ;(00011110) (36) (30) (1E)   ;(00000000) (0) (0) (00)   ;
3927
;544;(01111100) (174) (124) (7C)    ;(00110110) (66) (54) (36)   ;(00110011) (63) (51) (33)   ;(00110011) (63) (51) (33)   ;(00110011) (63) (51) (33)   ;(00110110) (66) (54) (36)   ;(01111100) (174) (124) (7C)   ;(00000000) (0) (0) (00)   ;
3928
;552;(01111111) (177) (127) (7F)    ;(00110001) (61) (49) (31)   ;(00110100) (64) (52) (34)   ;(00111100) (74) (60) (3C)   ;(00110100) (64) (52) (34)   ;(00110001) (61) (49) (31)   ;(01111111) (177) (127) (7F)   ;(00000000) (0) (0) (00)   ;
3929
;560;(01111111) (177) (127) (7F)    ;(00110001) (61) (49) (31)   ;(00110100) (64) (52) (34)   ;(00111100) (74) (60) (3C)   ;(00110100) (64) (52) (34)   ;(00110000) (60) (48) (30)   ;(01111000) (170) (120) (78)   ;(00000000) (0) (0) (00)   ;
3930
;568;(00011110) (36) (30) (1E)    ;(00110011) (63) (51) (33)   ;(01100000) (140) (96) (60)   ;(01100000) (140) (96) (60)   ;(01100111) (147) (103) (67)   ;(00110011) (63) (51) (33)   ;(00011111) (37) (31) (1F)   ;(00000000) (0) (0) (00)   ;
3931
;576;(01100110) (146) (102) (66)    ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01111110) (176) (126) (7E)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00000000) (0) (0) (00)   ;
3932
;584;(00111100) (74) (60) (3C)    ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
3933
;592;(00001111) (17) (15) (0F)    ;(00000110) (6) (6) (06)   ;(00000110) (6) (6) (06)   ;(00000110) (6) (6) (06)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
3934
;600;(01110011) (163) (115) (73)    ;(00110011) (63) (51) (33)   ;(00110110) (66) (54) (36)   ;(00111100) (74) (60) (3C)   ;(00110110) (66) (54) (36)   ;(00110011) (63) (51) (33)   ;(01110011) (163) (115) (73)   ;(00000000) (0) (0) (00)   ;
3935
;608;(01111000) (170) (120) (78)    ;(00110000) (60) (48) (30)   ;(00110000) (60) (48) (30)   ;(00110000) (60) (48) (30)   ;(00110001) (61) (49) (31)   ;(00110011) (63) (51) (33)   ;(01111111) (177) (127) (7F)   ;(00000000) (0) (0) (00)   ;
3936
;616;(01100011) (143) (99) (63)    ;(01110111) (167) (119) (77)   ;(01111111) (177) (127) (7F)   ;(01111111) (177) (127) (7F)   ;(01101011) (153) (107) (6B)   ;(01100011) (143) (99) (63)   ;(01100011) (143) (99) (63)   ;(00000000) (0) (0) (00)   ;
3937
;624;(01100011) (143) (99) (63)    ;(01110011) (163) (115) (73)   ;(01111011) (173) (123) (7B)   ;(01101111) (157) (111) (6F)   ;(01100111) (147) (103) (67)   ;(01100011) (143) (99) (63)   ;(01100011) (143) (99) (63)   ;(00000000) (0) (0) (00)   ;
3938
;632;(00011100) (34) (28) (1C)    ;(00110110) (66) (54) (36)   ;(01100011) (143) (99) (63)   ;(01100011) (143) (99) (63)   ;(01100011) (143) (99) (63)   ;(00110110) (66) (54) (36)   ;(00011100) (34) (28) (1C)   ;(00000000) (0) (0) (00)   ;
3939
;640;(01111110) (176) (126) (7E)    ;(00110011) (63) (51) (33)   ;(00110011) (63) (51) (33)   ;(00111110) (76) (62) (3E)   ;(00110000) (60) (48) (30)   ;(00110000) (60) (48) (30)   ;(01111000) (170) (120) (78)   ;(00000000) (0) (0) (00)   ;
3940
;648;(00111100) (74) (60) (3C)    ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01101110) (156) (110) (6E)   ;(00111100) (74) (60) (3C)   ;(00001110) (16) (14) (0E)   ;(00000000) (0) (0) (00)   ;
3941
;656;(01111110) (176) (126) (7E)    ;(00110011) (63) (51) (33)   ;(00110011) (63) (51) (33)   ;(00111110) (76) (62) (3E)   ;(00110110) (66) (54) (36)   ;(00110011) (63) (51) (33)   ;(01110011) (163) (115) (73)   ;(00000000) (0) (0) (00)   ;
3942
;664;(00111100) (74) (60) (3C)    ;(01100110) (146) (102) (66)   ;(01110000) (160) (112) (70)   ;(00111000) (70) (56) (38)   ;(00001110) (16) (14) (0E)   ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
3943
;672;(01111110) (176) (126) (7E)    ;(01011010) (132) (90) (5A)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
3944
;680;(01100110) (146) (102) (66)    ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
3945
;688;(01100110) (146) (102) (66)    ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;(00011000) (30) (24) (18)   ;(00000000) (0) (0) (00)   ;
3946
;696;(01100011) (143) (99) (63)    ;(01100011) (143) (99) (63)   ;(01100011) (143) (99) (63)   ;(01101011) (153) (107) (6B)   ;(01111111) (177) (127) (7F)   ;(01110111) (167) (119) (77)   ;(01100011) (143) (99) (63)   ;(00000000) (0) (0) (00)   ;
3947
;704;(01100011) (143) (99) (63)    ;(01100011) (143) (99) (63)   ;(00110110) (66) (54) (36)   ;(00011100) (34) (28) (1C)   ;(00110110) (66) (54) (36)   ;(01100011) (143) (99) (63)   ;(01100011) (143) (99) (63)   ;(00000000) (0) (0) (00)   ;
3948
;712;(01100110) (146) (102) (66)    ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
3949
;720;(01111111) (177) (127) (7F)    ;(01100011) (143) (99) (63)   ;(00000110) (6) (6) (06)   ;(00001100) (14) (12) (0C)   ;(00011000) (30) (24) (18)   ;(00110011) (63) (51) (33)   ;(01111111) (177) (127) (7F)   ;(00000000) (0) (0) (00)   ;
3950
;728;(00111100) (74) (60) (3C)    ;(00110000) (60) (48) (30)   ;(00110000) (60) (48) (30)   ;(00110000) (60) (48) (30)   ;(00110000) (60) (48) (30)   ;(00110000) (60) (48) (30)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
3951
;736;(00000000) (0) (0) (00)    ;(01100000) (140) (96) (60)   ;(00110000) (60) (48) (30)   ;(00011000) (30) (24) (18)   ;(00001100) (14) (12) (0C)   ;(00000110) (6) (6) (06)   ;(00000011) (3) (3) (03)   ;(00000000) (0) (0) (00)   ;
3952
;744;(00111100) (74) (60) (3C)    ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
3953
;752;(00001100) (14) (12) (0C)    ;(00011110) (36) (30) (1E)   ;(00110011) (63) (51) (33)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
3954
;760;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(01111111) (177) (127) (7F)   ;
3955
;768;(00011000) (30) (24) (18)    ;(00011000) (30) (24) (18)   ;(00001100) (14) (12) (0C)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
3956
;776;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00111100) (74) (60) (3C)   ;(00000110) (6) (6) (06)   ;(00111110) (76) (62) (3E)   ;(01100110) (146) (102) (66)   ;(00111011) (73) (59) (3B)   ;(00000000) (0) (0) (00)   ;
3957
;784;(01110000) (160) (112) (70)    ;(00110000) (60) (48) (30)   ;(00110000) (60) (48) (30)   ;(00111110) (76) (62) (3E)   ;(00110011) (63) (51) (33)   ;(00110011) (63) (51) (33)   ;(01101110) (156) (110) (6E)   ;(00000000) (0) (0) (00)   ;
3958
;792;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00111100) (74) (60) (3C)   ;(01100110) (146) (102) (66)   ;(01100000) (140) (96) (60)   ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
3959
;800;(00001110) (16) (14) (0E)    ;(00000110) (6) (6) (06)   ;(00000110) (6) (6) (06)   ;(00111110) (76) (62) (3E)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111011) (73) (59) (3B)   ;(00000000) (0) (0) (00)   ;
3960
;808;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00111100) (74) (60) (3C)   ;(01100110) (146) (102) (66)   ;(01111110) (176) (126) (7E)   ;(01100000) (140) (96) (60)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
3961
;816;(00011100) (34) (28) (1C)    ;(00110110) (66) (54) (36)   ;(00110000) (60) (48) (30)   ;(01111000) (170) (120) (78)   ;(00110000) (60) (48) (30)   ;(00110000) (60) (48) (30)   ;(01111000) (170) (120) (78)   ;(00000000) (0) (0) (00)   ;
3962
;824;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00111011) (73) (59) (3B)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111110) (76) (62) (3E)   ;(00000110) (6) (6) (06)   ;(01111100) (174) (124) (7C)   ;
3963
;832;(01110000) (160) (112) (70)    ;(00110000) (60) (48) (30)   ;(00110110) (66) (54) (36)   ;(00111011) (73) (59) (3B)   ;(00110011) (63) (51) (33)   ;(00110011) (63) (51) (33)   ;(01110011) (163) (115) (73)   ;(00000000) (0) (0) (00)   ;
3964
;840;(00011000) (30) (24) (18)    ;(00000000) (0) (0) (00)   ;(00111000) (70) (56) (38)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
3965
;848;(00000110) (6) (6) (06)    ;(00000000) (0) (0) (00)   ;(00000110) (6) (6) (06)   ;(00000110) (6) (6) (06)   ;(00000110) (6) (6) (06)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;
3966
;856;(01110000) (160) (112) (70)    ;(00110000) (60) (48) (30)   ;(00110011) (63) (51) (33)   ;(00110110) (66) (54) (36)   ;(00111100) (74) (60) (3C)   ;(00110110) (66) (54) (36)   ;(01110011) (163) (115) (73)   ;(00000000) (0) (0) (00)   ;
3967
;864;(00111000) (70) (56) (38)    ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
3968
;872;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(01100110) (146) (102) (66)   ;(01111111) (177) (127) (7F)   ;(01111111) (177) (127) (7F)   ;(01101011) (153) (107) (6B)   ;(01100011) (143) (99) (63)   ;(00000000) (0) (0) (00)   ;
3969
;880;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(01111100) (174) (124) (7C)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00000000) (0) (0) (00)   ;
3970
;888;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00111100) (74) (60) (3C)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
3971
;896;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(01101110) (156) (110) (6E)   ;(00110011) (63) (51) (33)   ;(00110011) (63) (51) (33)   ;(00111110) (76) (62) (3E)   ;(00110000) (60) (48) (30)   ;(01111000) (170) (120) (78)   ;
3972
;904;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00111011) (73) (59) (3B)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111110) (76) (62) (3E)   ;(00000110) (6) (6) (06)   ;(00001111) (17) (15) (0F)   ;
3973
;912;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(01101110) (156) (110) (6E)   ;(00111011) (73) (59) (3B)   ;(00110011) (63) (51) (33)   ;(00110000) (60) (48) (30)   ;(01111000) (170) (120) (78)   ;(00000000) (0) (0) (00)   ;
3974
;920;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00111110) (76) (62) (3E)   ;(01100000) (140) (96) (60)   ;(00111100) (74) (60) (3C)   ;(00000110) (6) (6) (06)   ;(01111100) (174) (124) (7C)   ;(00000000) (0) (0) (00)   ;
3975
;928;(00001000) (10) (8) (08)    ;(00011000) (30) (24) (18)   ;(00111110) (76) (62) (3E)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00011010) (32) (26) (1A)   ;(00001100) (14) (12) (0C)   ;(00000000) (0) (0) (00)   ;
3976
;936;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111011) (73) (59) (3B)   ;(00000000) (0) (0) (00)   ;
3977
;944;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;(00011000) (30) (24) (18)   ;(00000000) (0) (0) (00)   ;
3978
;952;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(01100011) (143) (99) (63)   ;(01101011) (153) (107) (6B)   ;(01111111) (177) (127) (7F)   ;(01111111) (177) (127) (7F)   ;(00110110) (66) (54) (36)   ;(00000000) (0) (0) (00)   ;
3979
;960;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(01100011) (143) (99) (63)   ;(00110110) (66) (54) (36)   ;(00011100) (34) (28) (1C)   ;(00110110) (66) (54) (36)   ;(01100011) (143) (99) (63)   ;(00000000) (0) (0) (00)   ;
3980
;968;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111110) (76) (62) (3E)   ;(00000110) (6) (6) (06)   ;(01111100) (174) (124) (7C)   ;
3981
;976;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(01111110) (176) (126) (7E)   ;(01001100) (114) (76) (4C)   ;(00011000) (30) (24) (18)   ;(00110010) (62) (50) (32)   ;(01111110) (176) (126) (7E)   ;(00000000) (0) (0) (00)   ;
3982
;984;(00001110) (16) (14) (0E)    ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(01110000) (160) (112) (70)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00001110) (16) (14) (0E)   ;(00000000) (0) (0) (00)   ;
3983
;992;(00011000) (30) (24) (18)    ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00000000) (0) (0) (00)   ;
3984
;1000;(01110000) (160) (112) (70)    ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00001110) (16) (14) (0E)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(01110000) (160) (112) (70)   ;(00000000) (0) (0) (00)   ;
3985
;1008;(00111011) (73) (59) (3B)    ;(01101110) (156) (110) (6E)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
3986
;1016;(01100110) (146) (102) (66)    ;(00000000) (0) (0) (00)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;(00011000) (30) (24) (18)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
3987
;1024;(00000000) (0) (0) (00)    ;(01111111) (177) (127) (7F)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
3988
;1032;(00001100) (14) (12) (0C)    ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
3989
;1040;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00001111) (17) (15) (0F)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
3990
;1048;(00001100) (14) (12) (0C)    ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(00001111) (17) (15) (0F)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
3991
;1056;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;
3992
;1064;(00001100) (14) (12) (0C)    ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;
3993
;1072;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00001111) (17) (15) (0F)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;
3994
;1080;(00001100) (14) (12) (0C)    ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(00001111) (17) (15) (0F)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;
3995
;1088;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(01111100) (174) (124) (7C)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
3996
;1096;(00001100) (14) (12) (0C)    ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(01111100) (174) (124) (7C)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
3997
;1104;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(01111111) (177) (127) (7F)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
3998
;1112;(00001100) (14) (12) (0C)    ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(01111111) (177) (127) (7F)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
3999
;1120;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(01111100) (174) (124) (7C)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;
4000
;1128;(00001100) (14) (12) (0C)    ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(01111100) (174) (124) (7C)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;
4001
;1136;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(01111111) (177) (127) (7F)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;
4002
;1144;(00001100) (14) (12) (0C)    ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(01111111) (177) (127) (7F)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;
4003
;1152;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(01111111) (177) (127) (7F)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
4004
;1160;(00110110) (66) (54) (36)    ;(00110110) (66) (54) (36)   ;(00110110) (66) (54) (36)   ;(00110110) (66) (54) (36)   ;(00111110) (76) (62) (3E)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
4005
;1168;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00111111) (77) (63) (3F)   ;(00110000) (60) (48) (30)   ;(00111111) (77) (63) (3F)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
4006
;1176;(00110110) (66) (54) (36)    ;(00110110) (66) (54) (36)   ;(00110111) (67) (55) (37)   ;(00110000) (60) (48) (30)   ;(00111111) (77) (63) (3F)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
4007
;1184;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00111110) (76) (62) (3E)   ;(00110110) (66) (54) (36)   ;(00110110) (66) (54) (36)   ;(00110110) (66) (54) (36)   ;(00110110) (66) (54) (36)   ;(00110110) (66) (54) (36)   ;
4008
;1192;(00110110) (66) (54) (36)    ;(00110110) (66) (54) (36)   ;(00110110) (66) (54) (36)   ;(00110110) (66) (54) (36)   ;(00110110) (66) (54) (36)   ;(00110110) (66) (54) (36)   ;(00110110) (66) (54) (36)   ;(00110110) (66) (54) (36)   ;
4009
;1200;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00111111) (77) (63) (3F)   ;(00110000) (60) (48) (30)   ;(00110111) (67) (55) (37)   ;(00110110) (66) (54) (36)   ;(00110110) (66) (54) (36)   ;(00110110) (66) (54) (36)   ;
4010
;1208;(00110110) (66) (54) (36)    ;(00110110) (66) (54) (36)   ;(00110111) (67) (55) (37)   ;(00110000) (60) (48) (30)   ;(00110111) (67) (55) (37)   ;(00110110) (66) (54) (36)   ;(00110110) (66) (54) (36)   ;(00110110) (66) (54) (36)   ;
4011
;1216;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(01111110) (176) (126) (7E)   ;(00000110) (6) (6) (06)   ;(01111110) (176) (126) (7E)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
4012
;1224;(00110110) (66) (54) (36)    ;(00110110) (66) (54) (36)   ;(01110110) (166) (118) (76)   ;(00000110) (6) (6) (06)   ;(01111110) (176) (126) (7E)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
4013
;1232;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(01111111) (177) (127) (7F)   ;(00000000) (0) (0) (00)   ;(01111111) (177) (127) (7F)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
4014
;1240;(00110110) (66) (54) (36)    ;(00110110) (66) (54) (36)   ;(01110111) (167) (119) (77)   ;(00000000) (0) (0) (00)   ;(01111111) (177) (127) (7F)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
4015
;1248;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(01111110) (176) (126) (7E)   ;(00000110) (6) (6) (06)   ;(01110110) (166) (118) (76)   ;(00110110) (66) (54) (36)   ;(00110110) (66) (54) (36)   ;(00110110) (66) (54) (36)   ;
4016
;1256;(00110110) (66) (54) (36)    ;(00110110) (66) (54) (36)   ;(01110110) (166) (118) (76)   ;(00000110) (6) (6) (06)   ;(01110110) (166) (118) (76)   ;(00110110) (66) (54) (36)   ;(00110110) (66) (54) (36)   ;(00110110) (66) (54) (36)   ;
4017
;1264;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(01111111) (177) (127) (7F)   ;(00000000) (0) (0) (00)   ;(01110111) (167) (119) (77)   ;(00110110) (66) (54) (36)   ;(00110110) (66) (54) (36)   ;(00110110) (66) (54) (36)   ;
4018
;1272;(00110110) (66) (54) (36)    ;(00110110) (66) (54) (36)   ;(01110111) (167) (119) (77)   ;(00000000) (0) (0) (00)   ;(01110111) (167) (119) (77)   ;(00110110) (66) (54) (36)   ;(00110110) (66) (54) (36)   ;(00110110) (66) (54) (36)   ;
4019
;1280;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(01100011) (143) (99) (63)   ;(01111111) (177) (127) (7F)   ;
4020
;1288;(00000000) (0) (0) (00)    ;(00011000) (30) (24) (18)   ;(00000000) (0) (0) (00)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00111100) (74) (60) (3C)   ;(00111100) (74) (60) (3C)   ;(00011000) (30) (24) (18)   ;
4021
;1296;(00011000) (30) (24) (18)    ;(00111100) (74) (60) (3C)   ;(01100110) (146) (102) (66)   ;(01100000) (140) (96) (60)   ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;(00011000) (30) (24) (18)   ;(00000000) (0) (0) (00)   ;
4022
;1304;(00011100) (34) (28) (1C)    ;(00110110) (66) (54) (36)   ;(00110010) (62) (50) (32)   ;(01111000) (170) (120) (78)   ;(00110000) (60) (48) (30)   ;(01110011) (163) (115) (73)   ;(01111110) (176) (126) (7E)   ;(00000000) (0) (0) (00)   ;
4023
;1312;(00011100) (34) (28) (1C)    ;(00110010) (62) (50) (32)   ;(01111000) (170) (120) (78)   ;(00110000) (60) (48) (30)   ;(01111000) (170) (120) (78)   ;(00110010) (62) (50) (32)   ;(00011100) (34) (28) (1C)   ;(00000000) (0) (0) (00)   ;
4024
;1320;(01100110) (146) (102) (66)    ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;(01111110) (176) (126) (7E)   ;(00011000) (30) (24) (18)   ;(01111110) (176) (126) (7E)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;
4025
;1328;(00100100) (44) (36) (24)    ;(00111100) (74) (60) (3C)   ;(01000010) (102) (66) (42)   ;(00110000) (60) (48) (30)   ;(00001100) (14) (12) (0C)   ;(01000010) (102) (66) (42)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
4026
;1336;(00011111) (37) (31) (1F)    ;(00110000) (60) (48) (30)   ;(00011110) (36) (30) (1E)   ;(00110011) (63) (51) (33)   ;(00110011) (63) (51) (33)   ;(00011110) (36) (30) (1E)   ;(01000011) (103) (67) (43)   ;(00111110) (76) (62) (3E)   ;
4027
;1344;(00111100) (74) (60) (3C)    ;(00000000) (0) (0) (00)   ;(00111110) (76) (62) (3E)   ;(01100000) (140) (96) (60)   ;(00111100) (74) (60) (3C)   ;(00000110) (6) (6) (06)   ;(01111100) (174) (124) (7C)   ;(00000000) (0) (0) (00)   ;
4028
;1352;(00111110) (76) (62) (3E)    ;(01000001) (101) (65) (41)   ;(01001101) (115) (77) (4D)   ;(01010001) (121) (81) (51)   ;(01010001) (121) (81) (51)   ;(01001101) (115) (77) (4D)   ;(01000001) (101) (65) (41)   ;(00111110) (76) (62) (3E)   ;
4029
;1360;(00011110) (36) (30) (1E)    ;(00110110) (66) (54) (36)   ;(00011111) (37) (31) (1F)   ;(00000000) (0) (0) (00)   ;(00111111) (77) (63) (3F)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
4030
;1368;(00000000) (0) (0) (00)    ;(00011001) (31) (25) (19)   ;(00110011) (63) (51) (33)   ;(01100110) (146) (102) (66)   ;(00110011) (63) (51) (33)   ;(00011001) (31) (25) (19)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
4031
;1376;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(01111110) (176) (126) (7E)   ;(00000110) (6) (6) (06)   ;(00000110) (6) (6) (06)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
4032
;1384;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00111110) (76) (62) (3E)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
4033
;1392;(00111110) (76) (62) (3E)    ;(01000001) (101) (65) (41)   ;(01011001) (131) (89) (59)   ;(01010101) (125) (85) (55)   ;(01011001) (131) (89) (59)   ;(01010101) (125) (85) (55)   ;(01000001) (101) (65) (41)   ;(00111110) (76) (62) (3E)   ;
4034
;1400;(01111111) (177) (127) (7F)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
4035
;1408;(00111000) (70) (56) (38)    ;(01101100) (154) (108) (6C)   ;(00111000) (70) (56) (38)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
4036
;1416;(00011000) (30) (24) (18)    ;(00011000) (30) (24) (18)   ;(01111110) (176) (126) (7E)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00000000) (0) (0) (00)   ;(01111110) (176) (126) (7E)   ;(00000000) (0) (0) (00)   ;
4037
;1424;(00111000) (70) (56) (38)    ;(01101100) (154) (108) (6C)   ;(00011000) (30) (24) (18)   ;(00110000) (60) (48) (30)   ;(01111100) (174) (124) (7C)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
4038
;1432;(00111000) (70) (56) (38)    ;(01101100) (154) (108) (6C)   ;(00011000) (30) (24) (18)   ;(01101100) (154) (108) (6C)   ;(00111000) (70) (56) (38)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
4039
;1440;(00110110) (66) (54) (36)    ;(01111111) (177) (127) (7F)   ;(01100110) (146) (102) (66)   ;(00001100) (14) (12) (0C)   ;(00011000) (30) (24) (18)   ;(00110011) (63) (51) (33)   ;(01111111) (177) (127) (7F)   ;(00000000) (0) (0) (00)   ;
4040
;1448;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01111011) (173) (123) (7B)   ;(01100000) (140) (96) (60)   ;
4041
;1456;(00111111) (77) (63) (3F)    ;(01101101) (155) (109) (6D)   ;(00111101) (75) (61) (3D)   ;(00011101) (35) (29) (1D)   ;(00001101) (15) (13) (0D)   ;(00001101) (15) (13) (0D)   ;(00001101) (15) (13) (0D)   ;(00000000) (0) (0) (00)   ;
4042
;1464;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00001100) (14) (12) (0C)   ;(00001100) (14) (12) (0C)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
4043
;1472;(00111100) (74) (60) (3C)    ;(00000000) (0) (0) (00)   ;(01111110) (176) (126) (7E)   ;(01001100) (114) (76) (4C)   ;(00011000) (30) (24) (18)   ;(00110010) (62) (50) (32)   ;(01111110) (176) (126) (7E)   ;(00000000) (0) (0) (00)   ;
4044
;1480;(00110000) (60) (48) (30)    ;(01110000) (160) (112) (70)   ;(00110000) (60) (48) (30)   ;(00110000) (60) (48) (30)   ;(01111000) (170) (120) (78)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
4045
;1488;(00011100) (34) (28) (1C)    ;(00110110) (66) (54) (36)   ;(00011100) (34) (28) (1C)   ;(00000000) (0) (0) (00)   ;(00111110) (76) (62) (3E)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
4046
;1496;(00000000) (0) (0) (00)    ;(01100110) (146) (102) (66)   ;(00110011) (63) (51) (33)   ;(00011001) (31) (25) (19)   ;(00110011) (63) (51) (33)   ;(01100110) (146) (102) (66)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
4047
;1504;(00111111) (77) (63) (3F)    ;(01101100) (154) (108) (6C)   ;(01101100) (154) (108) (6C)   ;(01101110) (156) (110) (6E)   ;(01101100) (154) (108) (6C)   ;(01101100) (154) (108) (6C)   ;(00111111) (77) (63) (3F)   ;(00000000) (0) (0) (00)   ;
4048
;1512;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00111111) (77) (63) (3F)   ;(01101101) (155) (109) (6D)   ;(01101111) (157) (111) (6F)   ;(01101100) (154) (108) (6C)   ;(00111111) (77) (63) (3F)   ;(00000000) (0) (0) (00)   ;
4049
;1520;(01100110) (146) (102) (66)    ;(00000000) (0) (0) (00)   ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
4050
;1528;(00000000) (0) (0) (00)    ;(00001100) (14) (12) (0C)   ;(00000000) (0) (0) (00)   ;(00001100) (14) (12) (0C)   ;(00011000) (30) (24) (18)   ;(00110000) (60) (48) (30)   ;(00110011) (63) (51) (33)   ;(00011110) (36) (30) (1E)   ;
4051
;1536;(00110000) (60) (48) (30)    ;(00011000) (30) (24) (18)   ;(00111100) (74) (60) (3C)   ;(01100110) (146) (102) (66)   ;(01111110) (176) (126) (7E)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00000000) (0) (0) (00)   ;
4052
;1544;(00001100) (14) (12) (0C)    ;(00011000) (30) (24) (18)   ;(00111100) (74) (60) (3C)   ;(01100110) (146) (102) (66)   ;(01111110) (176) (126) (7E)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00000000) (0) (0) (00)   ;
4053
;1552;(00111100) (74) (60) (3C)    ;(01000010) (102) (66) (42)   ;(00111100) (74) (60) (3C)   ;(01100110) (146) (102) (66)   ;(01111110) (176) (126) (7E)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00000000) (0) (0) (00)   ;
4054
;1560;(00111011) (73) (59) (3B)    ;(01101110) (156) (110) (6E)   ;(00111100) (74) (60) (3C)   ;(01100110) (146) (102) (66)   ;(01111110) (176) (126) (7E)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00000000) (0) (0) (00)   ;
4055
;1568;(01100110) (146) (102) (66)    ;(00000000) (0) (0) (00)   ;(00111100) (74) (60) (3C)   ;(01100110) (146) (102) (66)   ;(01111110) (176) (126) (7E)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00000000) (0) (0) (00)   ;
4056
;1576;(00011000) (30) (24) (18)    ;(00100100) (44) (36) (24)   ;(00111100) (74) (60) (3C)   ;(01100110) (146) (102) (66)   ;(01111110) (176) (126) (7E)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00000000) (0) (0) (00)   ;
4057
;1584;(00011111) (37) (31) (1F)    ;(00111100) (74) (60) (3C)   ;(01101100) (154) (108) (6C)   ;(01111110) (176) (126) (7E)   ;(01101100) (154) (108) (6C)   ;(01101100) (154) (108) (6C)   ;(01101111) (157) (111) (6F)   ;(00000000) (0) (0) (00)   ;
4058
;1592;(00011110) (36) (30) (1E)    ;(00110011) (63) (51) (33)   ;(01100000) (140) (96) (60)   ;(01100000) (140) (96) (60)   ;(00110011) (63) (51) (33)   ;(00011110) (36) (30) (1E)   ;(00000110) (6) (6) (06)   ;(00111100) (74) (60) (3C)   ;
4059
;1600;(00110000) (60) (48) (30)    ;(00011000) (30) (24) (18)   ;(01111111) (177) (127) (7F)   ;(00110001) (61) (49) (31)   ;(00111100) (74) (60) (3C)   ;(00110001) (61) (49) (31)   ;(01111111) (177) (127) (7F)   ;(00000000) (0) (0) (00)   ;
4060
;1608;(00000110) (6) (6) (06)    ;(00001100) (14) (12) (0C)   ;(01111111) (177) (127) (7F)   ;(00110001) (61) (49) (31)   ;(00111100) (74) (60) (3C)   ;(00110001) (61) (49) (31)   ;(01111111) (177) (127) (7F)   ;(00000000) (0) (0) (00)   ;
4061
;1616;(00011100) (34) (28) (1C)    ;(00110110) (66) (54) (36)   ;(01111111) (177) (127) (7F)   ;(00110001) (61) (49) (31)   ;(00111100) (74) (60) (3C)   ;(00110001) (61) (49) (31)   ;(01111111) (177) (127) (7F)   ;(00000000) (0) (0) (00)   ;
4062
;1624;(00110110) (66) (54) (36)    ;(00000000) (0) (0) (00)   ;(01111111) (177) (127) (7F)   ;(00110001) (61) (49) (31)   ;(00111100) (74) (60) (3C)   ;(00110001) (61) (49) (31)   ;(01111111) (177) (127) (7F)   ;(00000000) (0) (0) (00)   ;
4063
;1632;(00110000) (60) (48) (30)    ;(00011000) (30) (24) (18)   ;(00111100) (74) (60) (3C)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
4064
;1640;(00001100) (14) (12) (0C)    ;(00011000) (30) (24) (18)   ;(00111100) (74) (60) (3C)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
4065
;1648;(00111100) (74) (60) (3C)    ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
4066
;1656;(01100110) (146) (102) (66)    ;(00000000) (0) (0) (00)   ;(00111100) (74) (60) (3C)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
4067
;1664;(01111100) (174) (124) (7C)    ;(00110110) (66) (54) (36)   ;(00110011) (63) (51) (33)   ;(01111011) (173) (123) (7B)   ;(00110011) (63) (51) (33)   ;(00110110) (66) (54) (36)   ;(01111100) (174) (124) (7C)   ;(00000000) (0) (0) (00)   ;
4068
;1672;(00111011) (73) (59) (3B)    ;(01101110) (156) (110) (6E)   ;(01110011) (163) (115) (73)   ;(01111011) (173) (123) (7B)   ;(01101111) (157) (111) (6F)   ;(01100111) (147) (103) (67)   ;(01100011) (143) (99) (63)   ;(00000000) (0) (0) (00)   ;
4069
;1680;(00110000) (60) (48) (30)    ;(00011000) (30) (24) (18)   ;(00111100) (74) (60) (3C)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
4070
;1688;(00001100) (14) (12) (0C)    ;(00011000) (30) (24) (18)   ;(00111100) (74) (60) (3C)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
4071
;1696;(00111100) (74) (60) (3C)    ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
4072
;1704;(00111011) (73) (59) (3B)    ;(01101110) (156) (110) (6E)   ;(00111100) (74) (60) (3C)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
4073
;1712;(01100110) (146) (102) (66)    ;(00000000) (0) (0) (00)   ;(00111100) (74) (60) (3C)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
4074
;1720;(00000000) (0) (0) (00)    ;(00110110) (66) (54) (36)   ;(00011100) (34) (28) (1C)   ;(00011100) (34) (28) (1C)   ;(00110110) (66) (54) (36)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
4075
;1728;(00011111) (37) (31) (1F)    ;(00110110) (66) (54) (36)   ;(01101111) (157) (111) (6F)   ;(01101011) (153) (107) (6B)   ;(01111011) (173) (123) (7B)   ;(00110110) (66) (54) (36)   ;(01111100) (174) (124) (7C)   ;(00000000) (0) (0) (00)   ;
4076
;1736;(00110000) (60) (48) (30)    ;(00011000) (30) (24) (18)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
4077
;1744;(00001100) (14) (12) (0C)    ;(00011000) (30) (24) (18)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
4078
;1752;(00111100) (74) (60) (3C)    ;(01100110) (146) (102) (66)   ;(00000000) (0) (0) (00)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
4079
;1760;(01100110) (146) (102) (66)    ;(00000000) (0) (0) (00)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
4080
;1768;(00001100) (14) (12) (0C)    ;(00011000) (30) (24) (18)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;(00011000) (30) (24) (18)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
4081
;1776;(01111000) (170) (120) (78)    ;(00110000) (60) (48) (30)   ;(00111110) (76) (62) (3E)   ;(00110011) (63) (51) (33)   ;(00111110) (76) (62) (3E)   ;(00110000) (60) (48) (30)   ;(01111000) (170) (120) (78)   ;(00000000) (0) (0) (00)   ;
4082
;1784;(00111110) (76) (62) (3E)    ;(01100011) (143) (99) (63)   ;(01100011) (143) (99) (63)   ;(01100110) (146) (102) (66)   ;(01100011) (143) (99) (63)   ;(01101011) (153) (107) (6B)   ;(01101110) (156) (110) (6E)   ;(01000000) (100) (64) (40)   ;
4083
;1792;(00110000) (60) (48) (30)    ;(00011000) (30) (24) (18)   ;(00111100) (74) (60) (3C)   ;(00000110) (6) (6) (06)   ;(00111110) (76) (62) (3E)   ;(01100110) (146) (102) (66)   ;(00111011) (73) (59) (3B)   ;(00000000) (0) (0) (00)   ;
4084
;1800;(00001100) (14) (12) (0C)    ;(00011000) (30) (24) (18)   ;(00111100) (74) (60) (3C)   ;(00000110) (6) (6) (06)   ;(00111110) (76) (62) (3E)   ;(01100110) (146) (102) (66)   ;(00111011) (73) (59) (3B)   ;(00000000) (0) (0) (00)   ;
4085
;1808;(00111100) (74) (60) (3C)    ;(01000010) (102) (66) (42)   ;(00111100) (74) (60) (3C)   ;(00000110) (6) (6) (06)   ;(00111110) (76) (62) (3E)   ;(01100110) (146) (102) (66)   ;(00111011) (73) (59) (3B)   ;(00000000) (0) (0) (00)   ;
4086
;1816;(00111011) (73) (59) (3B)    ;(01101110) (156) (110) (6E)   ;(00111100) (74) (60) (3C)   ;(00000110) (6) (6) (06)   ;(00111110) (76) (62) (3E)   ;(01100110) (146) (102) (66)   ;(00111011) (73) (59) (3B)   ;(00000000) (0) (0) (00)   ;
4087
;1824;(00110110) (66) (54) (36)    ;(00000000) (0) (0) (00)   ;(00111100) (74) (60) (3C)   ;(00000110) (6) (6) (06)   ;(00111110) (76) (62) (3E)   ;(01100110) (146) (102) (66)   ;(00111011) (73) (59) (3B)   ;(00000000) (0) (0) (00)   ;
4088
;1832;(00011100) (34) (28) (1C)    ;(00110110) (66) (54) (36)   ;(00111100) (74) (60) (3C)   ;(00000110) (6) (6) (06)   ;(00111110) (76) (62) (3E)   ;(01100110) (146) (102) (66)   ;(00111011) (73) (59) (3B)   ;(00000000) (0) (0) (00)   ;
4089
;1840;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00111111) (77) (63) (3F)   ;(00001101) (15) (13) (0D)   ;(00111111) (77) (63) (3F)   ;(01101100) (154) (108) (6C)   ;(00111111) (77) (63) (3F)   ;(00000000) (0) (0) (00)   ;
4090
;1848;(00000000) (0) (0) (00)    ;(00111100) (74) (60) (3C)   ;(01100110) (146) (102) (66)   ;(01100000) (140) (96) (60)   ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;(00001100) (14) (12) (0C)   ;(00111000) (70) (56) (38)   ;
4091
;1856;(00110000) (60) (48) (30)    ;(00011000) (30) (24) (18)   ;(00111100) (74) (60) (3C)   ;(01100110) (146) (102) (66)   ;(01111110) (176) (126) (7E)   ;(01100000) (140) (96) (60)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
4092
;1864;(00000110) (6) (6) (06)    ;(00001100) (14) (12) (0C)   ;(00111100) (74) (60) (3C)   ;(01100110) (146) (102) (66)   ;(01111110) (176) (126) (7E)   ;(01100000) (140) (96) (60)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
4093
;1872;(00111100) (74) (60) (3C)    ;(01000010) (102) (66) (42)   ;(00111100) (74) (60) (3C)   ;(01100110) (146) (102) (66)   ;(01111110) (176) (126) (7E)   ;(01100000) (140) (96) (60)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
4094
;1880;(01100110) (146) (102) (66)    ;(00000000) (0) (0) (00)   ;(00111100) (74) (60) (3C)   ;(01100110) (146) (102) (66)   ;(01111110) (176) (126) (7E)   ;(01100000) (140) (96) (60)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
4095
;1888;(00110000) (60) (48) (30)    ;(00011000) (30) (24) (18)   ;(00000000) (0) (0) (00)   ;(00111000) (70) (56) (38)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
4096
;1896;(00001100) (14) (12) (0C)    ;(00011000) (30) (24) (18)   ;(00000000) (0) (0) (00)   ;(00111000) (70) (56) (38)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
4097
;1904;(00111000) (70) (56) (38)    ;(01101100) (154) (108) (6C)   ;(00000000) (0) (0) (00)   ;(00111000) (70) (56) (38)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
4098
;1912;(00000000) (0) (0) (00)    ;(01101100) (154) (108) (6C)   ;(00000000) (0) (0) (00)   ;(00111000) (70) (56) (38)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
4099
;1920;(00111100) (74) (60) (3C)    ;(00111000) (70) (56) (38)   ;(00001100) (14) (12) (0C)   ;(00111110) (76) (62) (3E)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
4100
;1928;(00111011) (73) (59) (3B)    ;(01101110) (156) (110) (6E)   ;(01111100) (174) (124) (7C)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00000000) (0) (0) (00)   ;
4101
;1936;(00110000) (60) (48) (30)    ;(00011000) (30) (24) (18)   ;(00000000) (0) (0) (00)   ;(00111100) (74) (60) (3C)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
4102
;1944;(00001100) (14) (12) (0C)    ;(00011000) (30) (24) (18)   ;(00000000) (0) (0) (00)   ;(00111100) (74) (60) (3C)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
4103
;1952;(00111100) (74) (60) (3C)    ;(01100110) (146) (102) (66)   ;(00000000) (0) (0) (00)   ;(00111100) (74) (60) (3C)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
4104
;1960;(00111011) (73) (59) (3B)    ;(01101110) (156) (110) (6E)   ;(00000000) (0) (0) (00)   ;(00111100) (74) (60) (3C)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
4105
;1968;(00000000) (0) (0) (00)    ;(01100110) (146) (102) (66)   ;(00000000) (0) (0) (00)   ;(00111100) (74) (60) (3C)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111100) (74) (60) (3C)   ;(00000000) (0) (0) (00)   ;
4106
;1976;(00000000) (0) (0) (00)    ;(00011000) (30) (24) (18)   ;(00000000) (0) (0) (00)   ;(01111110) (176) (126) (7E)   ;(00000000) (0) (0) (00)   ;(00011000) (30) (24) (18)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
4107
;1984;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00111110) (76) (62) (3E)   ;(01101110) (156) (110) (6E)   ;(01111110) (176) (126) (7E)   ;(01110110) (166) (118) (76)   ;(01111100) (174) (124) (7C)   ;(00000000) (0) (0) (00)   ;
4108
;1992;(00110000) (60) (48) (30)    ;(00011000) (30) (24) (18)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111011) (73) (59) (3B)   ;(00000000) (0) (0) (00)   ;
4109
;2000;(00001100) (14) (12) (0C)    ;(00011000) (30) (24) (18)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111011) (73) (59) (3B)   ;(00000000) (0) (0) (00)   ;
4110
;2008;(00111100) (74) (60) (3C)    ;(01100110) (146) (102) (66)   ;(00000000) (0) (0) (00)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111011) (73) (59) (3B)   ;(00000000) (0) (0) (00)   ;
4111
;2016;(01100110) (146) (102) (66)    ;(00000000) (0) (0) (00)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111011) (73) (59) (3B)   ;(00000000) (0) (0) (00)   ;
4112
;2024;(00001100) (14) (12) (0C)    ;(00011000) (30) (24) (18)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111110) (76) (62) (3E)   ;(00000110) (6) (6) (06)   ;(01111100) (174) (124) (7C)   ;
4113
;2032;(01111000) (170) (120) (78)    ;(00110000) (60) (48) (30)   ;(00111100) (74) (60) (3C)   ;(00110110) (66) (54) (36)   ;(00110110) (66) (54) (36)   ;(00111100) (74) (60) (3C)   ;(00110000) (60) (48) (30)   ;(01111000) (170) (120) (78)   ;
4114
;2040;(01100110) (146) (102) (66)    ;(00000000) (0) (0) (00)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(00111110) (76) (62) (3E)   ;(00000110) (6) (6) (06)   ;(01111100) (174) (124) (7C)   ;
4115
 
4116
 
4117
RAM content values are presented in the following format: (Binary) (Octal) (Decimal) (Hexadecimal)
4118
+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
4119
; |Z80SOC|rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ALTSYNCRAM                                                                                                                                                                   ;
4120
+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
4121
;   Addr   ;              +0              ;              +1              ;              +2              ;              +3              ;              +4              ;              +5              ;              +6              ;              +7              ;
4122
+----------+------------------------------+------------------------------+------------------------------+------------------------------+------------------------------+------------------------------+------------------------------+------------------------------+
4123
;0;(11101101) (355) (237) (ED)    ;(01111011) (173) (123) (7B)   ;(11010010) (322) (210) (D2)   ;(01010111) (127) (87) (57)   ;(11001101) (315) (205) (CD)   ;(01000110) (106) (70) (46)   ;(00100000) (40) (32) (20)   ;(11001101) (315) (205) (CD)   ;
4124
;8;(11110100) (364) (244) (F4)    ;(00000101) (5) (5) (05)   ;(11000011) (303) (195) (C3)   ;(01011100) (134) (92) (5C)   ;(00010011) (23) (19) (13)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
4125
;16;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
4126
;24;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
4127
;32;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
4128
;40;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
4129
;48;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
4130
;56;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
4131
;64;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
4132
;72;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
4133
;80;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
4134
;88;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
4135
;96;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
4136
;104;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
4137
;112;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
4138
;120;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
4139
;128;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
4140
;136;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
4141
;144;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
4142
;152;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
4143
;160;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
4144
;168;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
4145
;176;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
4146
;184;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
4147
;192;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
4148
;200;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
4149
;208;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
4150
;216;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
4151
;224;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
4152
;232;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
4153
;240;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
4154
;248;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
4155
;256;(10101111) (257) (175) (AF)    ;(11111101) (375) (253) (FD)   ;(00100001) (41) (33) (21)   ;(00000010) (2) (2) (02)   ;(00000000) (0) (0) (00)   ;(11111101) (375) (253) (FD)   ;(00111001) (71) (57) (39)   ;(11111101) (375) (253) (FD)   ;
4156
;264;(10111110) (276) (190) (BE)    ;(00000000) (0) (0) (00)   ;(11111101) (375) (253) (FD)   ;(10011110) (236) (158) (9E)   ;(00000001) (1) (1) (01)   ;(11100010) (342) (226) (E2)   ;(00010010) (22) (18) (12)   ;(00000001) (1) (1) (01)   ;
4157
;272;(11101110) (356) (238) (EE)    ;(10000000) (200) (128) (80)   ;(11110000) (360) (240) (F0)   ;(11010001) (321) (209) (D1)   ;(11000001) (301) (193) (C1)   ;(11000101) (305) (197) (C5)   ;(11010101) (325) (213) (D5)   ;(10101111) (257) (175) (AF)   ;
4158
;280;(10111001) (271) (185) (B9)    ;(10011000) (230) (152) (98)   ;(11100010) (342) (226) (E2)   ;(00011111) (37) (31) (1F)   ;(00000001) (1) (1) (01)   ;(11101110) (356) (238) (EE)   ;(10000000) (200) (128) (80)   ;(11110000) (360) (240) (F0)   ;
4159
;288;(11000101) (305) (197) (C5)    ;(00100001) (41) (33) (21)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(10000011) (203) (131) (83)   ;(00010011) (23) (19) (13)   ;
4160
;296;(11110001) (361) (241) (F1)    ;(11000001) (301) (193) (C1)   ;(00001011) (13) (11) (0B)   ;(00011000) (30) (24) (18)   ;(11101010) (352) (234) (EA)   ;(00111011) (73) (59) (3B)   ;(00100001) (41) (33) (21)   ;(00000011) (3) (3) (03)   ;
4161
;304;(00000000) (0) (0) (00)    ;(00111001) (71) (57) (39)   ;(01111110) (176) (126) (7E)   ;(00111101) (75) (61) (3D)   ;(00100000) (40) (32) (20)   ;(00000100) (4) (4) (04)   ;(00111110) (76) (62) (3E)   ;(00000001) (1) (1) (01)   ;
4162
;312;(00011000) (30) (24) (18)    ;(00000001) (1) (1) (01)   ;(10101111) (257) (175) (AF)   ;(00110011) (63) (51) (33)   ;(11110101) (365) (245) (F5)   ;(00110011) (63) (51) (33)   ;(11001101) (315) (205) (CD)   ;(00010000) (20) (16) (10)   ;
4163
;320;(00010100) (24) (20) (14)    ;(01111101) (175) (125) (7D)   ;(11010110) (326) (214) (D6)   ;(00000010) (2) (2) (02)   ;(00101000) (50) (40) (28)   ;(00101001) (51) (41) (29)   ;(00111110) (76) (62) (3E)   ;(11111111) (377) (255) (FF)   ;
4164
;328;(11110101) (365) (245) (F5)    ;(00110011) (63) (51) (33)   ;(11001101) (315) (205) (CD)   ;(00001000) (10) (8) (08)   ;(00010100) (24) (20) (14)   ;(00110011) (63) (51) (33)   ;(00100001) (41) (33) (21)   ;(00000000) (0) (0) (00)   ;
4165
;336;(00000000) (0) (0) (00)    ;(00111001) (71) (57) (39)   ;(01111110) (176) (126) (7E)   ;(10110111) (267) (183) (B7)   ;(00101000) (50) (40) (28)   ;(11101000) (350) (232) (E8)   ;(00100001) (41) (33) (21)   ;(11010000) (320) (208) (D0)   ;
4166
;344;(00000111) (7) (7) (07)    ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(00000000) (0) (0) (00)   ;(00000001) (1) (1) (01)   ;(11110001) (361) (241) (F1)   ;(10101111) (257) (175) (AF)   ;(11110101) (365) (245) (F5)   ;
4167
;352;(00110011) (63) (51) (33)    ;(11001101) (315) (205) (CD)   ;(00001000) (10) (8) (08)   ;(00010100) (24) (20) (14)   ;(00110011) (63) (51) (33)   ;(00100001) (41) (33) (21)   ;(10001000) (210) (136) (88)   ;(00010011) (23) (19) (13)   ;
4168
;360;(11100101) (345) (229) (E5)    ;(11001101) (315) (205) (CD)   ;(00000000) (0) (0) (00)   ;(00000001) (1) (1) (01)   ;(11110001) (361) (241) (F1)   ;(00011000) (30) (24) (18)   ;(11001111) (317) (207) (CF)   ;(00110011) (63) (51) (33)   ;
4169
;368;(11001001) (311) (201) (C9)    ;(00100001) (41) (33) (21)   ;(00001100) (14) (12) (0C)   ;(00000000) (0) (0) (00)   ;(11100101) (345) (229) (E5)   ;(00101110) (56) (46) (2E)   ;(00000101) (5) (5) (05)   ;(11100101) (345) (229) (E5)   ;
4170
;376;(11001101) (315) (205) (CD)    ;(10011111) (237) (159) (9F)   ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(11110001) (361) (241) (F1)   ;(00100001) (41) (33) (21)   ;(00000010) (2) (2) (02)   ;(00000000) (0) (0) (00)   ;
4171
;384;(00111001) (71) (57) (39)    ;(01111110) (176) (126) (7E)   ;(10110111) (267) (183) (B7)   ;(00100000) (40) (32) (20)   ;(00010000) (20) (16) (10)   ;(00100001) (41) (33) (21)   ;(10100101) (245) (165) (A5)   ;(00000001) (1) (1) (01)   ;
4172
;392;(11100101) (345) (229) (E5)    ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(11110001) (361) (241) (F1)   ;(10101111) (257) (175) (AF)   ;(11110101) (365) (245) (F5)   ;(00110011) (63) (51) (33)   ;
4173
;400;(11001101) (315) (205) (CD)    ;(00101101) (55) (45) (2D)   ;(00000001) (1) (1) (01)   ;(00110011) (63) (51) (33)   ;(11001001) (311) (201) (C9)   ;(00100001) (41) (33) (21)   ;(10110010) (262) (178) (B2)   ;(00000001) (1) (1) (01)   ;
4174
;408;(11100101) (345) (229) (E5)    ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(00100110) (46) (38) (26)   ;(00000001) (1) (1) (01)   ;(11100011) (343) (227) (E3)   ;(00110011) (63) (51) (33)   ;
4175
;416;(11001101) (315) (205) (CD)    ;(00101101) (55) (45) (2D)   ;(00000001) (1) (1) (01)   ;(00110011) (63) (51) (33)   ;(11001001) (311) (201) (C9)   ;(01010100) (124) (84) (54)   ;(01100101) (145) (101) (65)   ;(01110011) (163) (115) (73)   ;
4176
;424;(01110100) (164) (116) (74)    ;(00100000) (40) (32) (20)   ;(01110000) (160) (112) (70)   ;(01100001) (141) (97) (61)   ;(01110011) (163) (115) (73)   ;(01110011) (163) (115) (73)   ;(01100101) (145) (101) (65)   ;(01100100) (144) (100) (64)   ;
4177
;432;(00101110) (56) (46) (2E)    ;(00000000) (0) (0) (00)   ;(01010100) (124) (84) (54)   ;(01100101) (145) (101) (65)   ;(01110011) (163) (115) (73)   ;(01110100) (164) (116) (74)   ;(00100000) (40) (32) (20)   ;(01000110) (106) (70) (46)   ;
4178
;440;(01100001) (141) (97) (61)    ;(01101001) (151) (105) (69)   ;(01101100) (154) (108) (6C)   ;(01100101) (145) (101) (65)   ;(01100100) (144) (100) (64)   ;(00100000) (40) (32) (20)   ;(00100001) (41) (33) (21)   ;(00100001) (41) (33) (21)   ;
4179
;448;(00100001) (41) (33) (21)    ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;(11100101) (345) (229) (E5)   ;(11011101) (335) (221) (DD)   ;(00100001) (41) (33) (21)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
4180
;456;(11011101) (335) (221) (DD)    ;(00111001) (71) (57) (39)   ;(11110101) (365) (245) (F5)   ;(11011101) (335) (221) (DD)   ;(01001110) (116) (78) (4E)   ;(00000100) (4) (4) (04)   ;(11011101) (335) (221) (DD)   ;(01000110) (106) (70) (46)   ;
4181
;464;(00000101) (5) (5) (05)    ;(01111001) (171) (121) (79)   ;(11011101) (335) (221) (DD)   ;(10010110) (226) (150) (96)   ;(00000110) (6) (6) (06)   ;(01111000) (170) (120) (78)   ;(11011101) (335) (221) (DD)   ;(10011110) (236) (158) (9E)   ;
4182
;472;(00000111) (7) (7) (07)    ;(00110000) (60) (48) (30)   ;(01111100) (174) (124) (7C)   ;(11000101) (305) (197) (C5)   ;(11000101) (305) (197) (C5)   ;(11001101) (315) (205) (CD)   ;(10000011) (203) (131) (83)   ;(00010011) (23) (19) (13)   ;
4183
;480;(11110001) (361) (241) (F1)    ;(01010101) (125) (85) (55)   ;(11000001) (301) (193) (C1)   ;(11000101) (305) (197) (C5)   ;(11010101) (325) (213) (D5)   ;(00111110) (76) (62) (3E)   ;(01000001) (101) (65) (41)   ;(11110101) (365) (245) (F5)   ;
4184
;488;(00110011) (63) (51) (33)    ;(11000101) (305) (197) (C5)   ;(11001101) (315) (205) (CD)   ;(01110100) (164) (116) (74)   ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(00110011) (63) (51) (33)   ;(11010001) (321) (209) (D1)   ;
4185
;496;(11000001) (301) (193) (C1)    ;(11000101) (305) (197) (C5)   ;(11010101) (325) (213) (D5)   ;(11000101) (305) (197) (C5)   ;(11001101) (315) (205) (CD)   ;(10000011) (203) (131) (83)   ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;
4186
;504;(11010001) (321) (209) (D1)    ;(11000001) (301) (193) (C1)   ;(11011101) (335) (221) (DD)   ;(01110101) (165) (117) (75)   ;(11111111) (377) (255) (FF)   ;(11000101) (305) (197) (C5)   ;(11010101) (325) (213) (D5)   ;(00111110) (76) (62) (3E)   ;
4187
;512;(01000010) (102) (66) (42)    ;(11110101) (365) (245) (F5)   ;(00110011) (63) (51) (33)   ;(11000101) (305) (197) (C5)   ;(11001101) (315) (205) (CD)   ;(01110100) (164) (116) (74)   ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;
4188
;520;(00110011) (63) (51) (33)    ;(11010001) (321) (209) (D1)   ;(11000001) (301) (193) (C1)   ;(11000101) (305) (197) (C5)   ;(11010101) (325) (213) (D5)   ;(11000101) (305) (197) (C5)   ;(11001101) (315) (205) (CD)   ;(10000011) (203) (131) (83)   ;
4189
;528;(00010011) (23) (19) (13)    ;(11110001) (361) (241) (F1)   ;(11010001) (321) (209) (D1)   ;(11000001) (301) (193) (C1)   ;(11011101) (335) (221) (DD)   ;(01110101) (165) (117) (75)   ;(11111110) (376) (254) (FE)   ;(11000101) (305) (197) (C5)   ;
4190
;536;(11010101) (325) (213) (D5)    ;(00110011) (63) (51) (33)   ;(11000101) (305) (197) (C5)   ;(11001101) (315) (205) (CD)   ;(01110100) (164) (116) (74)   ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(00110011) (63) (51) (33)   ;
4191
;544;(00100001) (41) (33) (21)    ;(00001011) (13) (11) (0B)   ;(00000000) (0) (0) (00)   ;(11100101) (345) (229) (E5)   ;(00101110) (56) (46) (2E)   ;(00000101) (5) (5) (05)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;
4192
;552;(10011111) (237) (159) (9F)    ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(11110001) (361) (241) (F1)   ;(11000001) (301) (193) (C1)   ;(11000101) (305) (197) (C5)   ;(11000101) (305) (197) (C5)   ;(00100001) (41) (33) (21)   ;
4193
;560;(01100101) (145) (101) (65)    ;(00000010) (2) (2) (02)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(11110001) (361) (241) (F1)   ;(11110001) (361) (241) (F1)   ;
4194
;568;(11000001) (301) (193) (C1)    ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11111111) (377) (255) (FF)   ;(11010110) (326) (214) (D6)   ;(01000001) (101) (65) (41)   ;(00100000) (40) (32) (20)   ;(00000111) (7) (7) (07)   ;
4195
;576;(11011101) (335) (221) (DD)    ;(01111110) (176) (126) (7E)   ;(11111110) (376) (254) (FE)   ;(11010110) (326) (214) (D6)   ;(01000010) (102) (66) (42)   ;(00101000) (50) (40) (28)   ;(00001100) (14) (12) (0C)   ;(00111110) (76) (62) (3E)   ;
4196
;584;(00000001) (1) (1) (01)    ;(11110101) (365) (245) (F5)   ;(00110011) (63) (51) (33)   ;(11001101) (315) (205) (CD)   ;(01110001) (161) (113) (71)   ;(00000001) (1) (1) (01)   ;(00110011) (63) (51) (33)   ;(00101110) (56) (46) (2E)   ;
4197
;592;(00000000) (0) (0) (00)    ;(00011000) (30) (24) (18)   ;(00001101) (15) (13) (0D)   ;(00000011) (3) (3) (03)   ;(11000011) (303) (195) (C3)   ;(11010001) (321) (209) (D1)   ;(00000001) (1) (1) (01)   ;(10101111) (257) (175) (AF)   ;
4198
;600;(11110101) (365) (245) (F5)    ;(00110011) (63) (51) (33)   ;(11001101) (315) (205) (CD)   ;(01110001) (161) (113) (71)   ;(00000001) (1) (1) (01)   ;(00110011) (63) (51) (33)   ;(00101110) (56) (46) (2E)   ;(00000001) (1) (1) (01)   ;
4199
;608;(11011101) (335) (221) (DD)    ;(11111001) (371) (249) (F9)   ;(11011101) (335) (221) (DD)   ;(11100001) (341) (225) (E1)   ;(11001001) (311) (201) (C9)   ;(01010111) (127) (87) (57)   ;(01110010) (162) (114) (72)   ;(01101001) (151) (105) (69)   ;
4200
;616;(01110100) (164) (116) (74)    ;(01101001) (151) (105) (69)   ;(01101110) (156) (110) (6E)   ;(01100111) (147) (103) (67)   ;(00100000) (40) (32) (20)   ;(01110100) (164) (116) (74)   ;(01101111) (157) (111) (6F)   ;(00100000) (40) (32) (20)   ;
4201
;624;(01100001) (141) (97) (61)    ;(01100100) (144) (100) (64)   ;(01100100) (144) (100) (64)   ;(01110010) (162) (114) (72)   ;(01100101) (145) (101) (65)   ;(01110011) (163) (115) (73)   ;(01110011) (163) (115) (73)   ;(00111010) (72) (58) (3A)   ;
4202
;632;(00100000) (40) (32) (20)    ;(00110000) (60) (48) (30)   ;(01111000) (170) (120) (78)   ;(00100101) (45) (37) (25)   ;(01111000) (170) (120) (78)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;
4203
;640;(00100000) (40) (32) (20)    ;(00100000) (40) (32) (20)   ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;(11100101) (345) (229) (E5)   ;(11011101) (335) (221) (DD)   ;(00100001) (41) (33) (21)   ;(00000000) (0) (0) (00)   ;
4204
;648;(00000000) (0) (0) (00)    ;(11011101) (335) (221) (DD)   ;(00111001) (71) (57) (39)   ;(00100001) (41) (33) (21)   ;(11100000) (340) (224) (E0)   ;(11111111) (377) (255) (FF)   ;(00111001) (71) (57) (39)   ;(11111001) (371) (249) (F9)   ;
4205
;656;(00100001) (41) (33) (21)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(01001101) (115) (77) (4D)   ;(01000100) (104) (68) (44)   ;(00110110) (66) (54) (36)   ;(00000001) (1) (1) (01)   ;
4206
;664;(01101001) (151) (105) (69)    ;(01100000) (140) (96) (60)   ;(00100011) (43) (35) (23)   ;(00110110) (66) (54) (36)   ;(00000001) (1) (1) (01)   ;(01101001) (151) (105) (69)   ;(01100000) (140) (96) (60)   ;(00100011) (43) (35) (23)   ;
4207
;672;(00100011) (43) (35) (23)    ;(00110110) (66) (54) (36)   ;(00000011) (3) (3) (03)   ;(01101001) (151) (105) (69)   ;(01100000) (140) (96) (60)   ;(00100011) (43) (35) (23)   ;(00100011) (43) (35) (23)   ;(00100011) (43) (35) (23)   ;
4208
;680;(00110110) (66) (54) (36)    ;(00010011) (23) (19) (13)   ;(00100001) (41) (33) (21)   ;(00000100) (4) (4) (04)   ;(00000000) (0) (0) (00)   ;(00001001) (11) (9) (09)   ;(00110110) (66) (54) (36)   ;(00010011) (23) (19) (13)   ;
4209
;688;(00100001) (41) (33) (21)    ;(00000101) (5) (5) (05)   ;(00000000) (0) (0) (00)   ;(00001001) (11) (9) (09)   ;(00110110) (66) (54) (36)   ;(10010111) (227) (151) (97)   ;(00100001) (41) (33) (21)   ;(00000110) (6) (6) (06)   ;
4210
;696;(00000000) (0) (0) (00)    ;(00001001) (11) (9) (09)   ;(00110110) (66) (54) (36)   ;(10010111) (227) (151) (97)   ;(00100001) (41) (33) (21)   ;(00000111) (7) (7) (07)   ;(00000000) (0) (0) (00)   ;(00001001) (11) (9) (09)   ;
4211
;704;(00110110) (66) (54) (36)    ;(10011110) (236) (158) (9E)   ;(00100001) (41) (33) (21)   ;(00001000) (10) (8) (08)   ;(00000000) (0) (0) (00)   ;(00001001) (11) (9) (09)   ;(00110110) (66) (54) (36)   ;(10000000) (200) (128) (80)   ;
4212
;712;(00100001) (41) (33) (21)    ;(00001001) (11) (9) (09)   ;(00000000) (0) (0) (00)   ;(00001001) (11) (9) (09)   ;(00110110) (66) (54) (36)   ;(10000000) (200) (128) (80)   ;(00100001) (41) (33) (21)   ;(00001010) (12) (10) (0A)   ;
4213
;720;(00000000) (0) (0) (00)    ;(00001001) (11) (9) (09)   ;(00110110) (66) (54) (36)   ;(11000000) (300) (192) (C0)   ;(00100001) (41) (33) (21)   ;(00001011) (13) (11) (0B)   ;(00000000) (0) (0) (00)   ;(00001001) (11) (9) (09)   ;
4214
;728;(00110110) (66) (54) (36)    ;(11001000) (310) (200) (C8)   ;(00100001) (41) (33) (21)   ;(00001100) (14) (12) (0C)   ;(00000000) (0) (0) (00)   ;(00001001) (11) (9) (09)   ;(00110110) (66) (54) (36)   ;(11001000) (310) (200) (C8)   ;
4215
;736;(00100001) (41) (33) (21)    ;(00001101) (15) (13) (0D)   ;(00000000) (0) (0) (00)   ;(00001001) (11) (9) (09)   ;(00110110) (66) (54) (36)   ;(11101001) (351) (233) (E9)   ;(00100001) (41) (33) (21)   ;(00001110) (16) (14) (0E)   ;
4216
;744;(00000000) (0) (0) (00)    ;(00001001) (11) (9) (09)   ;(00110110) (66) (54) (36)   ;(11101001) (351) (233) (E9)   ;(00100001) (41) (33) (21)   ;(00001111) (17) (15) (0F)   ;(00000000) (0) (0) (00)   ;(00001001) (11) (9) (09)   ;
4217
;752;(00110110) (66) (54) (36)    ;(01111001) (171) (121) (79)   ;(00100001) (41) (33) (21)   ;(00010000) (20) (16) (10)   ;(00000000) (0) (0) (00)   ;(00001001) (11) (9) (09)   ;(00110110) (66) (54) (36)   ;(10111100) (274) (188) (BC)   ;
4218
;760;(00100001) (41) (33) (21)    ;(00010001) (21) (17) (11)   ;(00000000) (0) (0) (00)   ;(00001001) (11) (9) (09)   ;(00110110) (66) (54) (36)   ;(10111101) (275) (189) (BD)   ;(00100001) (41) (33) (21)   ;(00010010) (22) (18) (12)   ;
4219
;768;(00000000) (0) (0) (00)    ;(00001001) (11) (9) (09)   ;(00110110) (66) (54) (36)   ;(11111111) (377) (255) (FF)   ;(00100001) (41) (33) (21)   ;(00010011) (23) (19) (13)   ;(00000000) (0) (0) (00)   ;(00001001) (11) (9) (09)   ;
4220
;776;(00110110) (66) (54) (36)    ;(11111111) (377) (255) (FF)   ;(00100001) (41) (33) (21)   ;(00010100) (24) (20) (14)   ;(00000000) (0) (0) (00)   ;(00001001) (11) (9) (09)   ;(00110110) (66) (54) (36)   ;(11111011) (373) (251) (FB)   ;
4221
;784;(00100001) (41) (33) (21)    ;(00010101) (25) (21) (15)   ;(00000000) (0) (0) (00)   ;(00001001) (11) (9) (09)   ;(00110110) (66) (54) (36)   ;(11110011) (363) (243) (F3)   ;(00100001) (41) (33) (21)   ;(00010110) (26) (22) (16)   ;
4222
;792;(00000000) (0) (0) (00)    ;(00001001) (11) (9) (09)   ;(00110110) (66) (54) (36)   ;(11100001) (341) (225) (E1)   ;(00100001) (41) (33) (21)   ;(00010111) (27) (23) (17)   ;(00000000) (0) (0) (00)   ;(00001001) (11) (9) (09)   ;
4223
;800;(00110110) (66) (54) (36)    ;(11000001) (301) (193) (C1)   ;(00100001) (41) (33) (21)   ;(00011000) (30) (24) (18)   ;(00000000) (0) (0) (00)   ;(00001001) (11) (9) (09)   ;(00110110) (66) (54) (36)   ;(00111101) (75) (61) (3D)   ;
4224
;808;(00100001) (41) (33) (21)    ;(00011001) (31) (25) (19)   ;(00000000) (0) (0) (00)   ;(00001001) (11) (9) (09)   ;(00110110) (66) (54) (36)   ;(10111101) (275) (189) (BD)   ;(00100001) (41) (33) (21)   ;(00011010) (32) (26) (1A)   ;
4225
;816;(00000000) (0) (0) (00)    ;(00001001) (11) (9) (09)   ;(00110110) (66) (54) (36)   ;(11111111) (377) (255) (FF)   ;(00100001) (41) (33) (21)   ;(00011011) (33) (27) (1B)   ;(00000000) (0) (0) (00)   ;(00001001) (11) (9) (09)   ;
4226
;824;(00110110) (66) (54) (36)    ;(11111111) (377) (255) (FF)   ;(00100001) (41) (33) (21)   ;(00011100) (34) (28) (1C)   ;(00000000) (0) (0) (00)   ;(00001001) (11) (9) (09)   ;(00110110) (66) (54) (36)   ;(11011111) (337) (223) (DF)   ;
4227
;832;(00100001) (41) (33) (21)    ;(00011101) (35) (29) (1D)   ;(00000000) (0) (0) (00)   ;(00001001) (11) (9) (09)   ;(00110110) (66) (54) (36)   ;(11001111) (317) (207) (CF)   ;(00100001) (41) (33) (21)   ;(00011110) (36) (30) (1E)   ;
4228
;840;(00000000) (0) (0) (00)    ;(00001001) (11) (9) (09)   ;(00110110) (66) (54) (36)   ;(10000111) (207) (135) (87)   ;(00100001) (41) (33) (21)   ;(00011111) (37) (31) (1F)   ;(00000000) (0) (0) (00)   ;(00001001) (11) (9) (09)   ;
4229
;848;(00110110) (66) (54) (36)    ;(10000011) (203) (131) (83)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(00000100) (4) (4) (04)   ;(11000110) (306) (198) (C6)   ;(00001000) (10) (8) (08)   ;(11011101) (335) (221) (DD)   ;
4230
;856;(01110111) (167) (119) (77)    ;(00000100) (4) (4) (04)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(00000101) (5) (5) (05)   ;(11001110) (316) (206) (CE)   ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;
4231
;864;(01110111) (167) (119) (77)    ;(00000101) (5) (5) (05)   ;(00010001) (21) (17) (11)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(01101011) (153) (107) (6B)   ;(01100010) (142) (98) (62)   ;(00001001) (11) (9) (09)   ;
4232
;872;(01100110) (146) (102) (66)    ;(11010101) (325) (213) (D5)   ;(11111101) (375) (253) (FD)   ;(11100001) (341) (225) (E1)   ;(11000101) (305) (197) (C5)   ;(11011101) (335) (221) (DD)   ;(01001110) (116) (78) (4E)   ;(00000100) (4) (4) (04)   ;
4233
;880;(11011101) (335) (221) (DD)    ;(01000110) (106) (70) (46)   ;(00000101) (5) (5) (05)   ;(11111101) (375) (253) (FD)   ;(00001001) (11) (9) (09)   ;(11010101) (325) (213) (D5)   ;(11100101) (345) (229) (E5)   ;(00110011) (63) (51) (33)   ;
4234
;888;(11111101) (375) (253) (FD)    ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(01110100) (164) (116) (74)   ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(00110011) (63) (51) (33)   ;(11010001) (321) (209) (D1)   ;
4235
;896;(11000001) (301) (193) (C1)    ;(00010011) (23) (19) (13)   ;(01111011) (173) (123) (7B)   ;(11010110) (326) (214) (D6)   ;(00100000) (40) (32) (20)   ;(01111010) (172) (122) (7A)   ;(00010111) (27) (23) (17)   ;(00111111) (77) (63) (3F)   ;
4236
;904;(00011111) (37) (31) (1F)    ;(11011110) (336) (222) (DE)   ;(10000000) (200) (128) (80)   ;(00111000) (70) (56) (38)   ;(11011000) (330) (216) (D8)   ;(00100001) (41) (33) (21)   ;(11010100) (324) (212) (D4)   ;(01010111) (127) (87) (57)   ;
4237
;912;(11100101) (345) (229) (E5)    ;(11001101) (315) (205) (CD)   ;(10010110) (226) (150) (96)   ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(00000001) (1) (1) (01)   ;(01100101) (145) (101) (65)   ;(00000100) (4) (4) (04)   ;
4238
;920;(00001001) (11) (9) (09)    ;(01001101) (115) (77) (4D)   ;(01000100) (104) (68) (44)   ;(00111110) (76) (62) (3E)   ;(00000001) (1) (1) (01)   ;(11110101) (365) (245) (F5)   ;(00110011) (63) (51) (33)   ;(11000101) (305) (197) (C5)   ;
4239
;928;(11001101) (315) (205) (CD)    ;(01110100) (164) (116) (74)   ;(00010011) (23) (19) (13)   ;(00110011) (63) (51) (33)   ;(00100001) (41) (33) (21)   ;(11010100) (324) (212) (D4)   ;(01010111) (127) (87) (57)   ;(11100011) (343) (227) (E3)   ;
4240
;936;(11001101) (315) (205) (CD)    ;(10010110) (226) (150) (96)   ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(00000001) (1) (1) (01)   ;(01100110) (146) (102) (66)   ;(00000100) (4) (4) (04)   ;(00001001) (11) (9) (09)   ;
4241
;944;(01001101) (115) (77) (4D)    ;(01000100) (104) (68) (44)   ;(00111110) (76) (62) (3E)   ;(00000010) (2) (2) (02)   ;(11110101) (365) (245) (F5)   ;(00110011) (63) (51) (33)   ;(11000101) (305) (197) (C5)   ;(11001101) (315) (205) (CD)   ;
4242
;952;(01110100) (164) (116) (74)    ;(00010011) (23) (19) (13)   ;(00110011) (63) (51) (33)   ;(00100001) (41) (33) (21)   ;(11010100) (324) (212) (D4)   ;(01010111) (127) (87) (57)   ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;
4243
;960;(10010110) (226) (150) (96)    ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(00000001) (1) (1) (01)   ;(10110101) (265) (181) (B5)   ;(00000100) (4) (4) (04)   ;(00001001) (11) (9) (09)   ;(01001101) (115) (77) (4D)   ;
4244
;968;(01000100) (104) (68) (44)    ;(00111110) (76) (62) (3E)   ;(00000011) (3) (3) (03)   ;(11110101) (365) (245) (F5)   ;(00110011) (63) (51) (33)   ;(11000101) (305) (197) (C5)   ;(11001101) (315) (205) (CD)   ;(01110100) (164) (116) (74)   ;
4245
;976;(00010011) (23) (19) (13)    ;(00110011) (63) (51) (33)   ;(00100001) (41) (33) (21)   ;(11010100) (324) (212) (D4)   ;(01010111) (127) (87) (57)   ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(10010110) (226) (150) (96)   ;
4246
;984;(00010011) (23) (19) (13)    ;(11110001) (361) (241) (F1)   ;(00000001) (1) (1) (01)   ;(10110110) (266) (182) (B6)   ;(00000100) (4) (4) (04)   ;(00001001) (11) (9) (09)   ;(01001101) (115) (77) (4D)   ;(01000100) (104) (68) (44)   ;
4247
;992;(00111110) (76) (62) (3E)    ;(00000100) (4) (4) (04)   ;(11110101) (365) (245) (F5)   ;(00110011) (63) (51) (33)   ;(11000101) (305) (197) (C5)   ;(11001101) (315) (205) (CD)   ;(01110100) (164) (116) (74)   ;(00010011) (23) (19) (13)   ;
4248
;1000;(11110001) (361) (241) (F1)    ;(00110011) (63) (51) (33)   ;(10101111) (257) (175) (AF)   ;(11110101) (365) (245) (F5)   ;(00110011) (63) (51) (33)   ;(11001101) (315) (205) (CD)   ;(01110001) (161) (113) (71)   ;(00000001) (1) (1) (01)   ;
4249
;1008;(11011101) (335) (221) (DD)    ;(11111001) (371) (249) (F9)   ;(11011101) (335) (221) (DD)   ;(11100001) (341) (225) (E1)   ;(11001001) (311) (201) (C9)   ;(00100001) (41) (33) (21)   ;(11011111) (337) (223) (DF)   ;(01010111) (127) (87) (57)   ;
4250
;1016;(11100101) (345) (229) (E5)    ;(11001101) (315) (205) (CD)   ;(10000011) (203) (131) (83)   ;(00010011) (23) (19) (13)   ;(11100011) (343) (227) (E3)   ;(00000001) (1) (1) (01)   ;(00001011) (13) (11) (0B)   ;(00000000) (0) (0) (00)   ;
4251
;1024;(11000101) (305) (197) (C5)    ;(00000001) (1) (1) (01)   ;(00000101) (5) (5) (05)   ;(00000000) (0) (0) (00)   ;(11000101) (305) (197) (C5)   ;(11001101) (315) (205) (CD)   ;(10011111) (237) (159) (9F)   ;(00010011) (23) (19) (13)   ;
4252
;1032;(11110001) (361) (241) (F1)    ;(11110001) (361) (241) (F1)   ;(11100001) (341) (225) (E1)   ;(01111101) (175) (125) (7D)   ;(00111101) (75) (61) (3D)   ;(00101000) (50) (40) (28)   ;(00001001) (11) (9) (09)   ;(01111101) (175) (125) (7D)   ;
4253
;1040;(11111110) (376) (254) (FE)    ;(00000010) (2) (2) (02)   ;(00101000) (50) (40) (28)   ;(00000100) (4) (4) (04)   ;(11010110) (326) (214) (D6)   ;(00000011) (3) (3) (03)   ;(00100000) (40) (32) (20)   ;(00101001) (51) (41) (29)   ;
4254
;1048;(00100001) (41) (33) (21)    ;(01010011) (123) (83) (53)   ;(00000100) (4) (4) (04)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(00100001) (41) (33) (21)   ;
4255
;1056;(01101001) (151) (105) (69)    ;(00000100) (4) (4) (04)   ;(11100011) (343) (227) (E3)   ;(10101111) (257) (175) (AF)   ;(11110101) (365) (245) (F5)   ;(00110011) (63) (51) (33)   ;(11001101) (315) (205) (CD)   ;(01010100) (124) (84) (54)   ;
4256
;1064;(00010100) (24) (20) (14)    ;(00110011) (63) (51) (33)   ;(00100001) (41) (33) (21)   ;(01111010) (172) (122) (7A)   ;(00000100) (4) (4) (04)   ;(11100011) (343) (227) (E3)   ;(00111110) (76) (62) (3E)   ;(00010000) (20) (16) (10)   ;
4257
;1072;(11110101) (365) (245) (F5)    ;(00110011) (63) (51) (33)   ;(11001101) (315) (205) (CD)   ;(01010100) (124) (84) (54)   ;(00010100) (24) (20) (14)   ;(11110001) (361) (241) (F1)   ;(00110011) (63) (51) (33)   ;(10101111) (257) (175) (AF)   ;
4258
;1080;(11110101) (365) (245) (F5)    ;(00110011) (63) (51) (33)   ;(11001101) (315) (205) (CD)   ;(01110001) (161) (113) (71)   ;(00000001) (1) (1) (01)   ;(00110011) (63) (51) (33)   ;(00101110) (56) (46) (2E)   ;(00000001) (1) (1) (01)   ;
4259
;1088;(11001001) (311) (201) (C9)    ;(00100001) (41) (33) (21)   ;(10001011) (213) (139) (8B)   ;(00000100) (4) (4) (04)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;
4260
;1096;(00100110) (46) (38) (26)    ;(00000001) (1) (1) (01)   ;(11100011) (343) (227) (E3)   ;(00110011) (63) (51) (33)   ;(11001101) (315) (205) (CD)   ;(01110001) (161) (113) (71)   ;(00000001) (1) (1) (01)   ;(00110011) (63) (51) (33)   ;
4261
;1104;(00101110) (56) (46) (2E)    ;(00000000) (0) (0) (00)   ;(11001001) (311) (201) (C9)   ;(01010111) (127) (87) (57)   ;(01110010) (162) (114) (72)   ;(01101001) (151) (105) (69)   ;(01110100) (164) (116) (74)   ;(01101001) (151) (105) (69)   ;
4262
;1112;(01101110) (156) (110) (6E)    ;(01100111) (147) (103) (67)   ;(00100000) (40) (32) (20)   ;(01110100) (164) (116) (74)   ;(01101111) (157) (111) (6F)   ;(00100000) (40) (32) (20)   ;(01001100) (114) (76) (4C)   ;(01000011) (103) (67) (43)   ;
4263
;1120;(01000100) (104) (68) (44)    ;(00100000) (40) (32) (20)   ;(01101110) (156) (110) (6E)   ;(01101111) (157) (111) (6F)   ;(01110111) (167) (119) (77)   ;(00101110) (56) (46) (2E)   ;(00101110) (56) (46) (2E)   ;(00101110) (56) (46) (2E)   ;
4264
;1128;(00000000) (0) (0) (00)    ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00100000) (40) (32) (20)   ;(01011010) (132) (90) (5A)   ;(00111000) (70) (56) (38)   ;
4265
;1136;(00110000) (60) (48) (30)    ;(01010011) (123) (83) (53)   ;(01101111) (157) (111) (6F)   ;(01000011) (103) (67) (43)   ;(00100000) (40) (32) (20)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;
4266
;1144;(00101010) (52) (42) (2A)    ;(00000000) (0) (0) (00)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(01010010) (122) (82) (52)   ;(01100101) (145) (101) (65)   ;(01110100) (164) (116) (74)   ;(01110010) (162) (114) (72)   ;
4267
;1152;(01101111) (157) (111) (6F)    ;(00101101) (55) (45) (2D)   ;(01000011) (103) (67) (43)   ;(01010000) (120) (80) (50)   ;(01010101) (125) (85) (55)   ;(00101110) (56) (46) (2E)   ;(01110010) (162) (114) (72)   ;(01110101) (165) (117) (75)   ;
4268
;1160;(01101110) (156) (110) (6E)    ;(00100000) (40) (32) (20)   ;(00000000) (0) (0) (00)   ;(01010100) (124) (84) (54)   ;(01101000) (150) (104) (68)   ;(01101001) (151) (105) (69)   ;(01110011) (163) (115) (73)   ;(00100000) (40) (32) (20)   ;
4269
;1168;(01110000) (160) (112) (70)    ;(01101100) (154) (108) (6C)   ;(01100001) (141) (97) (61)   ;(01110100) (164) (116) (74)   ;(01100110) (146) (102) (66)   ;(01101111) (157) (111) (6F)   ;(01110010) (162) (114) (72)   ;(01101101) (155) (109) (6D)   ;
4270
;1176;(00100000) (40) (32) (20)    ;(01100100) (144) (100) (64)   ;(01101111) (157) (111) (6F)   ;(01100101) (145) (101) (65)   ;(01110011) (163) (115) (73)   ;(00100000) (40) (32) (20)   ;(01101110) (156) (110) (6E)   ;(01101111) (157) (111) (6F)   ;
4271
;1184;(01110100) (164) (116) (74)    ;(00100000) (40) (32) (20)   ;(01101000) (150) (104) (68)   ;(01100001) (141) (97) (61)   ;(01110110) (166) (118) (76)   ;(01100101) (145) (101) (65)   ;(00100000) (40) (32) (20)   ;(01001100) (114) (76) (4C)   ;
4272
;1192;(01000011) (103) (67) (43)    ;(01000100) (104) (68) (44)   ;(00100000) (40) (32) (20)   ;(01000100) (104) (68) (44)   ;(01101001) (151) (105) (69)   ;(01110011) (163) (115) (73)   ;(01110000) (160) (112) (70)   ;(01101100) (154) (108) (6C)   ;
4273
;1200;(01100001) (141) (97) (61)    ;(01111001) (171) (121) (79)   ;(00000000) (0) (0) (00)   ;(00100001) (41) (33) (21)   ;(11000000) (300) (192) (C0)   ;(00000100) (4) (4) (04)   ;(11100101) (345) (229) (E5)   ;(10101111) (257) (175) (AF)   ;
4274
;1208;(11110101) (365) (245) (F5)    ;(00110011) (63) (51) (33)   ;(11001101) (315) (205) (CD)   ;(01010100) (124) (84) (54)   ;(00010100) (24) (20) (14)   ;(11110001) (361) (241) (F1)   ;(00110011) (63) (51) (33)   ;(11001001) (311) (201) (C9)   ;
4275
;1216;(00100000) (40) (32) (20)    ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;
4276
;1224;(00100000) (40) (32) (20)    ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;
4277
;1232;(00100000) (40) (32) (20)    ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;
4278
;1240;(00100000) (40) (32) (20)    ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;
4279
;1248;(00000000) (0) (0) (00)    ;(10101111) (257) (175) (AF)   ;(11110101) (365) (245) (F5)   ;(00110011) (63) (51) (33)   ;(00100001) (41) (33) (21)   ;(11001101) (315) (205) (CD)   ;(01010111) (127) (87) (57)   ;(11100101) (345) (229) (E5)   ;
4280
;1256;(11001101) (315) (205) (CD)    ;(01110100) (164) (116) (74)   ;(00010011) (23) (19) (13)   ;(00110011) (63) (51) (33)   ;(00100001) (41) (33) (21)   ;(11010000) (320) (208) (D0)   ;(01010111) (127) (87) (57)   ;(11100011) (343) (227) (E3)   ;
4281
;1264;(11001101) (315) (205) (CD)    ;(10000011) (203) (131) (83)   ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(01000101) (105) (69) (45)   ;(11000101) (305) (197) (C5)   ;(00110011) (63) (51) (33)   ;(11001101) (315) (205) (CD)   ;
4282
;1272;(00101000) (50) (40) (28)    ;(00010100) (24) (20) (14)   ;(00110011) (63) (51) (33)   ;(00100001) (41) (33) (21)   ;(11010001) (321) (209) (D1)   ;(01010111) (127) (87) (57)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;
4283
;1280;(10000011) (203) (131) (83)    ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(01000101) (105) (69) (45)   ;(11000101) (305) (197) (C5)   ;(00110011) (63) (51) (33)   ;(11001101) (315) (205) (CD)   ;(00110000) (60) (48) (30)   ;
4284
;1288;(00010100) (24) (20) (14)    ;(00110011) (63) (51) (33)   ;(11000001) (301) (193) (C1)   ;(11100001) (341) (225) (E1)   ;(11100101) (345) (229) (E5)   ;(11000101) (305) (197) (C5)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;
4285
;1296;(11110111) (367) (247) (F7)    ;(00010100) (24) (20) (14)   ;(11110001) (361) (241) (F1)   ;(00101110) (56) (46) (2E)   ;(10101010) (252) (170) (AA)   ;(11001001) (311) (201) (C9)   ;(00100001) (41) (33) (21)   ;(11011111) (337) (223) (DF)   ;
4286
;1304;(01010111) (127) (87) (57)    ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(10000011) (203) (131) (83)   ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(01111101) (175) (125) (7D)   ;(00111101) (75) (61) (3D)   ;
4287
;1312;(00101000) (50) (40) (28)    ;(00001001) (11) (9) (09)   ;(01111101) (175) (125) (7D)   ;(11111110) (376) (254) (FE)   ;(00000010) (2) (2) (02)   ;(00101000) (50) (40) (28)   ;(00000100) (4) (4) (04)   ;(11010110) (326) (214) (D6)   ;
4288
;1320;(00000011) (3) (3) (03)    ;(00100000) (40) (32) (20)   ;(00000011) (3) (3) (03)   ;(00101110) (56) (46) (2E)   ;(00000001) (1) (1) (01)   ;(11001001) (311) (201) (C9)   ;(00101110) (56) (46) (2E)   ;(00000000) (0) (0) (00)   ;
4289
;1328;(11001001) (311) (201) (C9)    ;(00100001) (41) (33) (21)   ;(11011110) (336) (222) (DE)   ;(01010111) (127) (87) (57)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(10000011) (203) (131) (83)   ;(00010011) (23) (19) (13)   ;
4290
;1336;(11110001) (361) (241) (F1)    ;(11001001) (311) (201) (C9)   ;(00100001) (41) (33) (21)   ;(11011111) (337) (223) (DF)   ;(01010111) (127) (87) (57)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(10000011) (203) (131) (83)   ;
4291
;1344;(00010011) (23) (19) (13)    ;(11110001) (361) (241) (F1)   ;(00100001) (41) (33) (21)   ;(00001011) (13) (11) (0B)   ;(00000000) (0) (0) (00)   ;(11100101) (345) (229) (E5)   ;(00101110) (56) (46) (2E)   ;(00000101) (5) (5) (05)   ;
4292
;1352;(11100101) (345) (229) (E5)    ;(11001101) (315) (205) (CD)   ;(10011111) (237) (159) (9F)   ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(00100001) (41) (33) (21)   ;(10011000) (230) (152) (98)   ;(00000101) (5) (5) (05)   ;
4293
;1360;(11100011) (343) (227) (E3)    ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(00100001) (41) (33) (21)   ;(11000101) (305) (197) (C5)   ;(00000101) (5) (5) (05)   ;(11100011) (343) (227) (E3)   ;
4294
;1368;(11001101) (315) (205) (CD)    ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(11110001) (361) (241) (F1)   ;(00100001) (41) (33) (21)   ;(00001110) (16) (14) (0E)   ;(00000000) (0) (0) (00)   ;(11100101) (345) (229) (E5)   ;
4295
;1376;(00101110) (56) (46) (2E)    ;(00000101) (5) (5) (05)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(10011111) (237) (159) (9F)   ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(11110001) (361) (241) (F1)   ;
4296
;1384;(11001101) (315) (205) (CD)    ;(00110001) (61) (49) (31)   ;(00000101) (5) (5) (05)   ;(01001101) (115) (77) (4D)   ;(00000110) (6) (6) (06)   ;(00000000) (0) (0) (00)   ;(01111001) (171) (121) (79)   ;(11111110) (376) (254) (FE)   ;
4297
;1392;(01000001) (101) (65) (41)    ;(00101000) (50) (40) (28)   ;(00011011) (33) (27) (1B)   ;(10010000) (220) (144) (90)   ;(00101000) (50) (40) (28)   ;(00001111) (17) (15) (0F)   ;(01011001) (131) (89) (59)   ;(00010110) (26) (22) (16)   ;
4298
;1400;(00000000) (0) (0) (00)    ;(11000101) (305) (197) (C5)   ;(11010101) (325) (213) (D5)   ;(00100001) (41) (33) (21)   ;(11110001) (361) (241) (F1)   ;(00000101) (5) (5) (05)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;
4299
;1408;(11110111) (367) (247) (F7)    ;(00010100) (24) (20) (14)   ;(11110001) (361) (241) (F1)   ;(11110001) (361) (241) (F1)   ;(11000001) (301) (193) (C1)   ;(01000001) (101) (65) (41)   ;(11000101) (305) (197) (C5)   ;(11001101) (315) (205) (CD)   ;
4300
;1416;(00110001) (61) (49) (31)    ;(00000101) (5) (5) (05)   ;(11000001) (301) (193) (C1)   ;(01001101) (115) (77) (4D)   ;(00011000) (30) (24) (18)   ;(11100000) (340) (224) (E0)   ;(10101111) (257) (175) (AF)   ;(11110101) (365) (245) (F5)   ;
4301
;1424;(00110011) (63) (51) (33)    ;(11001101) (315) (205) (CD)   ;(01110001) (161) (113) (71)   ;(00000001) (1) (1) (01)   ;(00110011) (63) (51) (33)   ;(00101110) (56) (46) (2E)   ;(00000001) (1) (1) (01)   ;(11001001) (311) (201) (C9)   ;
4302
;1432;(01010011) (123) (83) (53)    ;(01110100) (164) (116) (74)   ;(01100001) (141) (97) (61)   ;(01110010) (162) (114) (72)   ;(01110100) (164) (116) (74)   ;(01101001) (151) (105) (69)   ;(01101110) (156) (110) (6E)   ;(01100111) (147) (103) (67)   ;
4303
;1440;(00100000) (40) (32) (20)    ;(01110000) (160) (112) (70)   ;(01110010) (162) (114) (72)   ;(01100101) (145) (101) (65)   ;(01110011) (163) (115) (73)   ;(01110011) (163) (115) (73)   ;(01101001) (151) (105) (69)   ;(01101110) (156) (110) (6E)   ;
4304
;1448;(01100111) (147) (103) (67)    ;(00100000) (40) (32) (20)   ;(01101011) (153) (107) (6B)   ;(01100101) (145) (101) (65)   ;(01111001) (171) (121) (79)   ;(01110011) (163) (115) (73)   ;(00100000) (40) (32) (20)   ;(01101001) (151) (105) (69)   ;
4305
;1456;(01101110) (156) (110) (6E)    ;(00100000) (40) (32) (20)   ;(01110100) (164) (116) (74)   ;(01101000) (150) (104) (68)   ;(01100101) (145) (101) (65)   ;(00100000) (40) (32) (20)   ;(01101011) (153) (107) (6B)   ;(01100101) (145) (101) (65)   ;
4306
;1464;(01111001) (171) (121) (79)    ;(01100010) (142) (98) (62)   ;(01101111) (157) (111) (6F)   ;(01100001) (141) (97) (61)   ;(01110010) (162) (114) (72)   ;(01100100) (144) (100) (64)   ;(00100000) (40) (32) (20)   ;(01101110) (156) (110) (6E)   ;
4307
;1472;(01101111) (157) (111) (6F)    ;(01110111) (167) (119) (77)   ;(00101110) (56) (46) (2E)   ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;(01010111) (127) (87) (57)   ;(01101000) (150) (104) (68)   ;(01100101) (145) (101) (65)   ;
4308
;1480;(01101110) (156) (110) (6E)    ;(00100000) (40) (32) (20)   ;(01111001) (171) (121) (79)   ;(01101111) (157) (111) (6F)   ;(01110101) (165) (117) (75)   ;(00100000) (40) (32) (20)   ;(01110000) (160) (112) (70)   ;(01110010) (162) (114) (72)   ;
4309
;1488;(01100101) (145) (101) (65)    ;(01110011) (163) (115) (73)   ;(01110011) (163) (115) (73)   ;(00100000) (40) (32) (20)   ;(01000101) (105) (69) (45)   ;(01001110) (116) (78) (4E)   ;(01010100) (124) (84) (54)   ;(01000101) (105) (69) (45)   ;
4310
;1496;(01010010) (122) (82) (52)    ;(00100000) (40) (32) (20)   ;(01110100) (164) (116) (74)   ;(01101000) (150) (104) (68)   ;(01100101) (145) (101) (65)   ;(00100000) (40) (32) (20)   ;(01110100) (164) (116) (74)   ;(01100101) (145) (101) (65)   ;
4311
;1504;(01110011) (163) (115) (73)    ;(01110100) (164) (116) (74)   ;(00100000) (40) (32) (20)   ;(01110111) (167) (119) (77)   ;(01101001) (151) (105) (69)   ;(01101100) (154) (108) (6C)   ;(01101100) (154) (108) (6C)   ;(00100000) (40) (32) (20)   ;
4312
;1512;(01100110) (146) (102) (66)    ;(01101001) (151) (105) (69)   ;(01101110) (156) (110) (6E)   ;(01101001) (151) (105) (69)   ;(01110011) (163) (115) (73)   ;(01101000) (150) (104) (68)   ;(00101110) (56) (46) (2E)   ;(00001010) (12) (10) (0A)   ;
4313
;1520;(00000000) (0) (0) (00)    ;(00100101) (45) (37) (25)   ;(01110011) (163) (115) (73)   ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;(11100101) (345) (229) (E5)   ;(11011101) (335) (221) (DD)   ;(00100001) (41) (33) (21)   ;
4314
;1528;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;(00111001) (71) (57) (39)   ;(00100001) (41) (33) (21)   ;(11111000) (370) (248) (F8)   ;(11111111) (377) (255) (FF)   ;(00111001) (71) (57) (39)   ;
4315
;1536;(11111001) (371) (249) (F9)    ;(11011101) (335) (221) (DD)   ;(00110110) (66) (54) (36)   ;(11111001) (371) (249) (F9)   ;(00000001) (1) (1) (01)   ;(00100001) (41) (33) (21)   ;(11011111) (337) (223) (DF)   ;(01010111) (127) (87) (57)   ;
4316
;1544;(11100101) (345) (229) (E5)    ;(11001101) (315) (205) (CD)   ;(10000011) (203) (131) (83)   ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(11011101) (335) (221) (DD)   ;(01110101) (165) (117) (75)   ;(11111000) (370) (248) (F8)   ;
4317
;1552;(11001101) (315) (205) (CD)    ;(01011110) (136) (94) (5E)   ;(00010011) (23) (19) (13)   ;(00100001) (41) (33) (21)   ;(00000011) (3) (3) (03)   ;(00000000) (0) (0) (00)   ;(11100101) (345) (229) (E5)   ;(00101110) (56) (46) (2E)   ;
4318
;1560;(00001111) (17) (15) (0F)    ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(10011111) (237) (159) (9F)   ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(00100001) (41) (33) (21)   ;(11011001) (331) (217) (D9)   ;
4319
;1568;(00001010) (12) (10) (0A)    ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(11110001) (361) (241) (F1)   ;(00100001) (41) (33) (21)   ;(00000100) (4) (4) (04)   ;
4320
;1576;(00000000) (0) (0) (00)    ;(11100101) (345) (229) (E5)   ;(00101110) (56) (46) (2E)   ;(00001111) (17) (15) (0F)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(10011111) (237) (159) (9F)   ;(00010011) (23) (19) (13)   ;
4321
;1584;(11110001) (361) (241) (F1)    ;(11110001) (361) (241) (F1)   ;(11011101) (335) (221) (DD)   ;(01001110) (116) (78) (4E)   ;(11111000) (370) (248) (F8)   ;(00000110) (6) (6) (06)   ;(00000000) (0) (0) (00)   ;(11000101) (305) (197) (C5)   ;
4322
;1592;(00100001) (41) (33) (21)    ;(00001010) (12) (10) (0A)   ;(00001011) (13) (11) (0B)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(11110001) (361) (241) (F1)   ;
4323
;1600;(11110001) (361) (241) (F1)    ;(00100001) (41) (33) (21)   ;(00010100) (24) (20) (14)   ;(00000000) (0) (0) (00)   ;(11100101) (345) (229) (E5)   ;(00101110) (56) (46) (2E)   ;(00000000) (0) (0) (00)   ;(11100101) (345) (229) (E5)   ;
4324
;1608;(11001101) (315) (205) (CD)    ;(10011111) (237) (159) (9F)   ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(00100001) (41) (33) (21)   ;(00100001) (41) (33) (21)   ;(00001011) (13) (11) (0B)   ;(11100011) (343) (227) (E3)   ;
4325
;1616;(11001101) (315) (205) (CD)    ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(00100001) (41) (33) (21)   ;(00110000) (60) (48) (30)   ;(00001011) (13) (11) (0B)   ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;
4326
;1624;(11110111) (367) (247) (F7)    ;(00010100) (24) (20) (14)   ;(11110001) (361) (241) (F1)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11111000) (370) (248) (F8)   ;(10110111) (267) (183) (B7)   ;(00100000) (40) (32) (20)   ;
4327
;1632;(00001111) (17) (15) (0F)    ;(00100001) (41) (33) (21)   ;(01000000) (100) (64) (40)   ;(00001011) (13) (11) (0B)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;
4328
;1640;(00100001) (41) (33) (21)    ;(01011110) (136) (94) (5E)   ;(00001011) (13) (11) (0B)   ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(11110001) (361) (241) (F1)   ;
4329
;1648;(11011101) (335) (221) (DD)    ;(01111110) (176) (126) (7E)   ;(11111000) (370) (248) (F8)   ;(11010110) (326) (214) (D6)   ;(00000010) (2) (2) (02)   ;(00100000) (40) (32) (20)   ;(00001111) (17) (15) (0F)   ;(00100001) (41) (33) (21)   ;
4330
;1656;(10000101) (205) (133) (85)    ;(00001011) (13) (11) (0B)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(00100001) (41) (33) (21)   ;(10100011) (243) (163) (A3)   ;
4331
;1664;(00001011) (13) (11) (0B)    ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(11110001) (361) (241) (F1)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;
4332
;1672;(11111000) (370) (248) (F8)    ;(00111101) (75) (61) (3D)   ;(00100000) (40) (32) (20)   ;(00001111) (17) (15) (0F)   ;(00100001) (41) (33) (21)   ;(11001010) (312) (202) (CA)   ;(00001011) (13) (11) (0B)   ;(11100101) (345) (229) (E5)   ;
4333
;1680;(11001101) (315) (205) (CD)    ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(00100001) (41) (33) (21)   ;(11101000) (350) (232) (E8)   ;(00001011) (13) (11) (0B)   ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;
4334
;1688;(11110111) (367) (247) (F7)    ;(00010100) (24) (20) (14)   ;(11110001) (361) (241) (F1)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11111000) (370) (248) (F8)   ;(11010110) (326) (214) (D6)   ;(00000011) (3) (3) (03)   ;
4335
;1696;(00100000) (40) (32) (20)    ;(00000100) (4) (4) (04)   ;(00111110) (76) (62) (3E)   ;(00000001) (1) (1) (01)   ;(00011000) (30) (24) (18)   ;(00000001) (1) (1) (01)   ;(10101111) (257) (175) (AF)   ;(01001111) (117) (79) (4F)   ;
4336
;1704;(10110111) (267) (183) (B7)    ;(00101000) (50) (40) (28)   ;(00010001) (21) (17) (11)   ;(11000101) (305) (197) (C5)   ;(00100001) (41) (33) (21)   ;(00001111) (17) (15) (0F)   ;(00001100) (14) (12) (0C)   ;(11100101) (345) (229) (E5)   ;
4337
;1712;(11001101) (315) (205) (CD)    ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(00100001) (41) (33) (21)   ;(00101101) (55) (45) (2D)   ;(00001100) (14) (12) (0C)   ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;
4338
;1720;(11110111) (367) (247) (F7)    ;(00010100) (24) (20) (14)   ;(11110001) (361) (241) (F1)   ;(11000001) (301) (193) (C1)   ;(01111001) (171) (121) (79)   ;(10110111) (267) (183) (B7)   ;(00101000) (50) (40) (28)   ;(01010111) (127) (87) (57)   ;
4339
;1728;(00100001) (41) (33) (21)    ;(01010100) (124) (84) (54)   ;(00001100) (14) (12) (0C)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(00100001) (41) (33) (21)   ;
4340
;1736;(01010111) (127) (87) (57)    ;(00001100) (14) (12) (0C)   ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(00100001) (41) (33) (21)   ;(01010100) (124) (84) (54)   ;
4341
;1744;(00001100) (14) (12) (0C)    ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(00100001) (41) (33) (21)   ;(10100000) (240) (160) (A0)   ;(00001100) (14) (12) (0C)   ;
4342
;1752;(11100011) (343) (227) (E3)    ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(00100001) (41) (33) (21)   ;(11010000) (320) (208) (D0)   ;(00001100) (14) (12) (0C)   ;(11100011) (343) (227) (E3)   ;
4343
;1760;(11001101) (315) (205) (CD)    ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(00100001) (41) (33) (21)   ;(11110101) (365) (245) (F5)   ;(00001100) (14) (12) (0C)   ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;
4344
;1768;(11110111) (367) (247) (F7)    ;(00010100) (24) (20) (14)   ;(00100001) (41) (33) (21)   ;(00011010) (32) (26) (1A)   ;(00001101) (15) (13) (0D)   ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;
4345
;1776;(00010100) (24) (20) (14)    ;(00100001) (41) (33) (21)   ;(01010110) (126) (86) (56)   ;(00001101) (15) (13) (0D)   ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;
4346
;1784;(00100001) (41) (33) (21)    ;(10000010) (202) (130) (82)   ;(00001101) (15) (13) (0D)   ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(00100001) (41) (33) (21)   ;
4347
;1792;(01010100) (124) (84) (54)    ;(00001100) (14) (12) (0C)   ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(00100001) (41) (33) (21)   ;(10110000) (260) (176) (B0)   ;
4348
;1800;(00001101) (15) (13) (0D)    ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(00100001) (41) (33) (21)   ;(01010100) (124) (84) (54)   ;(00001100) (14) (12) (0C)   ;
4349
;1808;(11100011) (343) (227) (E3)    ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(11110001) (361) (241) (F1)   ;(00011000) (30) (24) (18)   ;(01011100) (134) (92) (5C)   ;(00100001) (41) (33) (21)   ;
4350
;1816;(01010100) (124) (84) (54)    ;(00001100) (14) (12) (0C)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(00100001) (41) (33) (21)   ;(11110110) (366) (246) (F6)   ;
4351
;1824;(00001101) (15) (13) (0D)    ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(00100001) (41) (33) (21)   ;(00110001) (61) (49) (31)   ;(00001110) (16) (14) (0E)   ;
4352
;1832;(11100011) (343) (227) (E3)    ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(00100001) (41) (33) (21)   ;(01010100) (124) (84) (54)   ;(00001110) (16) (14) (0E)   ;(11100011) (343) (227) (E3)   ;
4353
;1840;(11001101) (315) (205) (CD)    ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(00100001) (41) (33) (21)   ;(01111011) (173) (123) (7B)   ;(00001110) (16) (14) (0E)   ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;
4354
;1848;(11110111) (367) (247) (F7)    ;(00010100) (24) (20) (14)   ;(00100001) (41) (33) (21)   ;(10100010) (242) (162) (A2)   ;(00001110) (16) (14) (0E)   ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;
4355
;1856;(00010100) (24) (20) (14)    ;(00100001) (41) (33) (21)   ;(11100000) (340) (224) (E0)   ;(00001110) (16) (14) (0E)   ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;
4356
;1864;(00100001) (41) (33) (21)    ;(00001110) (16) (14) (0E)   ;(00001111) (17) (15) (0F)   ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(00100001) (41) (33) (21)   ;
4357
;1872;(00111110) (76) (62) (3E)    ;(00001111) (17) (15) (0F)   ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(00100001) (41) (33) (21)   ;(01010100) (124) (84) (54)   ;
4358
;1880;(00001100) (14) (12) (0C)    ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(00100001) (41) (33) (21)   ;(10000001) (201) (129) (81)   ;(00001111) (17) (15) (0F)   ;
4359
;1888;(11100011) (343) (227) (E3)    ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(00100001) (41) (33) (21)   ;(10111011) (273) (187) (BB)   ;(00001111) (17) (15) (0F)   ;(11100011) (343) (227) (E3)   ;
4360
;1896;(11001101) (315) (205) (CD)    ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(00100001) (41) (33) (21)   ;(01010100) (124) (84) (54)   ;(00001100) (14) (12) (0C)   ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;
4361
;1904;(11110111) (367) (247) (F7)    ;(00010100) (24) (20) (14)   ;(11110001) (361) (241) (F1)   ;(00100001) (41) (33) (21)   ;(11111010) (372) (250) (FA)   ;(00001111) (17) (15) (0F)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;
4362
;1912;(11110111) (367) (247) (F7)    ;(00010100) (24) (20) (14)   ;(00100001) (41) (33) (21)   ;(00110011) (63) (51) (33)   ;(00010000) (20) (16) (10)   ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;
4363
;1920;(00010100) (24) (20) (14)    ;(11110001) (361) (241) (F1)   ;(11001101) (315) (205) (CD)   ;(00010000) (20) (16) (10)   ;(00010100) (24) (20) (14)   ;(00101101) (55) (45) (2D)   ;(00101000) (50) (40) (28)   ;(00100001) (41) (33) (21)   ;
4364
;1928;(11011101) (335) (221) (DD)    ;(01111110) (176) (126) (7E)   ;(11111001) (371) (249) (F9)   ;(10110111) (267) (183) (B7)   ;(00100000) (40) (32) (20)   ;(00000100) (4) (4) (04)   ;(11011101) (335) (221) (DD)   ;(00110110) (66) (54) (36)   ;
4365
;1936;(11111001) (371) (249) (F9)    ;(00000001) (1) (1) (01)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11111001) (371) (249) (F9)   ;(11110101) (365) (245) (F5)   ;(00110011) (63) (51) (33)   ;(11001101) (315) (205) (CD)   ;
4366
;1944;(00001000) (10) (8) (08)    ;(00010100) (24) (20) (14)   ;(00110011) (63) (51) (33)   ;(00100001) (41) (33) (21)   ;(11101000) (350) (232) (E8)   ;(00000011) (3) (3) (03)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;
4367
;1952;(00000000) (0) (0) (00)    ;(00000001) (1) (1) (01)   ;(11110001) (361) (241) (F1)   ;(11011101) (335) (221) (DD)   ;(11001011) (313) (203) (CB)   ;(11111001) (371) (249) (F9)   ;(00100110) (46) (38) (26)   ;(00011000) (30) (24) (18)   ;
4368
;1960;(11011001) (331) (217) (D9)    ;(10101111) (257) (175) (AF)   ;(11110101) (365) (245) (F5)   ;(00110011) (63) (51) (33)   ;(11001101) (315) (205) (CD)   ;(00001000) (10) (8) (08)   ;(00010100) (24) (20) (14)   ;(00110011) (63) (51) (33)   ;
4369
;1968;(11001101) (315) (205) (CD)    ;(00010100) (24) (20) (14)   ;(00010100) (24) (20) (14)   ;(01001101) (115) (77) (4D)   ;(01111001) (171) (121) (79)   ;(00111101) (75) (61) (3D)   ;(00101000) (50) (40) (28)   ;(00101011) (53) (43) (2B)   ;
4370
;1976;(01111001) (171) (121) (79)    ;(11111110) (376) (254) (FE)   ;(00000010) (2) (2) (02)   ;(00101000) (50) (40) (28)   ;(01010111) (127) (87) (57)   ;(11111110) (376) (254) (FE)   ;(00000011) (3) (3) (03)   ;(11001010) (312) (202) (CA)   ;
4371
;1984;(01000101) (105) (69) (45)    ;(00001000) (10) (8) (08)   ;(11111110) (376) (254) (FE)   ;(00000100) (4) (4) (04)   ;(11001010) (312) (202) (CA)   ;(01110110) (166) (118) (76)   ;(00001000) (10) (8) (08)   ;(11111110) (376) (254) (FE)   ;
4372
;1992;(00000101) (5) (5) (05)    ;(11001010) (312) (202) (CA)   ;(10010111) (227) (151) (97)   ;(00001000) (10) (8) (08)   ;(11111110) (376) (254) (FE)   ;(00000110) (6) (6) (06)   ;(11001010) (312) (202) (CA)   ;(10101111) (257) (175) (AF)   ;
4373
;2000;(00001000) (10) (8) (08)    ;(11111110) (376) (254) (FE)   ;(00100000) (40) (32) (20)   ;(11001010) (312) (202) (CA)   ;(11001000) (310) (200) (C8)   ;(00001000) (10) (8) (08)   ;(11111110) (376) (254) (FE)   ;(01000000) (100) (64) (40)   ;
4374
;2008;(11001010) (312) (202) (CA)    ;(01010111) (127) (87) (57)   ;(00001001) (11) (9) (09)   ;(11010110) (326) (214) (D6)   ;(10000000) (200) (128) (80)   ;(11001010) (312) (202) (CA)   ;(10011101) (235) (157) (9D)   ;(00001010) (12) (10) (0A)   ;
4375
;2016;(11000011) (303) (195) (C3)    ;(00010000) (20) (16) (10)   ;(00000110) (6) (6) (06)   ;(00100001) (41) (33) (21)   ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;(11100101) (345) (229) (E5)   ;(00101110) (56) (46) (2E)   ;
4376
;2024;(00000101) (5) (5) (05)    ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(10011111) (237) (159) (9F)   ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(00100001) (41) (33) (21)   ;(01100010) (142) (98) (62)   ;
4377
;2032;(00010000) (20) (16) (10)    ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(00100001) (41) (33) (21)   ;(11011000) (330) (216) (D8)   ;(01010111) (127) (87) (57)   ;
4378
;2040;(11100011) (343) (227) (E3)    ;(11001101) (315) (205) (CD)   ;(10010110) (226) (150) (96)   ;(00010011) (23) (19) (13)   ;(11100011) (343) (227) (E3)   ;(00100001) (41) (33) (21)   ;(11011010) (332) (218) (DA)   ;(01010111) (127) (87) (57)   ;
4379
;2048;(11100101) (345) (229) (E5)    ;(11001101) (315) (205) (CD)   ;(10010110) (226) (150) (96)   ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(11000001) (301) (193) (C1)   ;(00010001) (21) (17) (11)   ;(11001110) (316) (206) (CE)   ;
4380
;2056;(11111111) (377) (255) (FF)    ;(00011001) (31) (25) (19)   ;(11100101) (345) (229) (E5)   ;(11000101) (305) (197) (C5)   ;(11001101) (315) (205) (CD)   ;(11000010) (302) (194) (C2)   ;(00000001) (1) (1) (01)   ;(11110001) (361) (241) (F1)   ;
4381
;2064;(11110001) (361) (241) (F1)    ;(11000011) (303) (195) (C3)   ;(00010000) (20) (16) (10)   ;(00000110) (6) (6) (06)   ;(00100001) (41) (33) (21)   ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;(11100101) (345) (229) (E5)   ;
4382
;2072;(00101110) (56) (46) (2E)    ;(00000101) (5) (5) (05)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(10011111) (237) (159) (9F)   ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(00100001) (41) (33) (21)   ;
4383
;2080;(01101111) (157) (111) (6F)    ;(00010000) (20) (16) (10)   ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(00100001) (41) (33) (21)   ;(11010100) (324) (212) (D4)   ;
4384
;2088;(01010111) (127) (87) (57)    ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(10010110) (226) (150) (96)   ;(00010011) (23) (19) (13)   ;(11100011) (343) (227) (E3)   ;(00100001) (41) (33) (21)   ;(11010100) (324) (212) (D4)   ;
4385
;2096;(01010111) (127) (87) (57)    ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(10010110) (226) (150) (96)   ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(11000001) (301) (193) (C1)   ;(00010001) (21) (17) (11)   ;
4386
;2104;(11000000) (300) (192) (C0)    ;(00010010) (22) (18) (12)   ;(00011001) (31) (25) (19)   ;(11100101) (345) (229) (E5)   ;(11000101) (305) (197) (C5)   ;(11001101) (315) (205) (CD)   ;(11000010) (302) (194) (C2)   ;(00000001) (1) (1) (01)   ;
4387
;2112;(11110001) (361) (241) (F1)    ;(11110001) (361) (241) (F1)   ;(11000011) (303) (195) (C3)   ;(00010000) (20) (16) (10)   ;(00000110) (6) (6) (06)   ;(00100001) (41) (33) (21)   ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;
4388
;2120;(11100101) (345) (229) (E5)    ;(00101110) (56) (46) (2E)   ;(00000101) (5) (5) (05)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(10011111) (237) (159) (9F)   ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;
4389
;2128;(00100001) (41) (33) (21)    ;(01111101) (175) (125) (7D)   ;(00010000) (20) (16) (10)   ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(00100001) (41) (33) (21)   ;
4390
;2136;(11010110) (326) (214) (D6)    ;(01010111) (127) (87) (57)   ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(10010110) (226) (150) (96)   ;(00010011) (23) (19) (13)   ;(11100011) (343) (227) (E3)   ;(00100001) (41) (33) (21)   ;
4391
;2144;(11010110) (326) (214) (D6)    ;(01010111) (127) (87) (57)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(10010110) (226) (150) (96)   ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(11000001) (301) (193) (C1)   ;
4392
;2152;(00010001) (21) (17) (11)    ;(00000000) (0) (0) (00)   ;(00001000) (10) (8) (08)   ;(00011001) (31) (25) (19)   ;(11100101) (345) (229) (E5)   ;(11000101) (305) (197) (C5)   ;(11001101) (315) (205) (CD)   ;(11000010) (302) (194) (C2)   ;
4393
;2160;(00000001) (1) (1) (01)    ;(11110001) (361) (241) (F1)   ;(11110001) (361) (241) (F1)   ;(11000011) (303) (195) (C3)   ;(00010000) (20) (16) (10)   ;(00000110) (6) (6) (06)   ;(00100001) (41) (33) (21)   ;(00001010) (12) (10) (0A)   ;
4394
;2168;(00000000) (0) (0) (00)    ;(11100101) (345) (229) (E5)   ;(00101110) (56) (46) (2E)   ;(00000101) (5) (5) (05)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(10011111) (237) (159) (9F)   ;(00010011) (23) (19) (13)   ;
4395
;2176;(11110001) (361) (241) (F1)    ;(00100001) (41) (33) (21)   ;(10001110) (216) (142) (8E)   ;(00010000) (20) (16) (10)   ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;
4396
;2184;(00100001) (41) (33) (21)    ;(11010110) (326) (214) (D6)   ;(01010111) (127) (87) (57)   ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(10010110) (226) (150) (96)   ;(00010011) (23) (19) (13)   ;(11100011) (343) (227) (E3)   ;
4397
;2192;(11001101) (315) (205) (CD)    ;(10000011) (203) (131) (83)   ;(00000010) (2) (2) (02)   ;(11110001) (361) (241) (F1)   ;(11000011) (303) (195) (C3)   ;(00010000) (20) (16) (10)   ;(00000110) (6) (6) (06)   ;(00111110) (76) (62) (3E)   ;
4398
;2200;(00000001) (1) (1) (01)    ;(11110101) (365) (245) (F5)   ;(00110011) (63) (51) (33)   ;(11001101) (315) (205) (CD)   ;(01001100) (114) (76) (4C)   ;(00010100) (24) (20) (14)   ;(00110011) (63) (51) (33)   ;(11001101) (315) (205) (CD)   ;
4399
;2208;(11110101) (365) (245) (F5)    ;(00000011) (3) (3) (03)   ;(11001101) (315) (205) (CD)   ;(10110011) (263) (179) (B3)   ;(00000100) (4) (4) (04)   ;(10101111) (257) (175) (AF)   ;(11110101) (365) (245) (F5)   ;(00110011) (63) (51) (33)   ;
4400
;2216;(11001101) (315) (205) (CD)    ;(01001100) (114) (76) (4C)   ;(00010100) (24) (20) (14)   ;(00110011) (63) (51) (33)   ;(11000011) (303) (195) (C3)   ;(00010000) (20) (16) (10)   ;(00000110) (6) (6) (06)   ;(00100001) (41) (33) (21)   ;
4401
;2224;(00001010) (12) (10) (0A)    ;(00000000) (0) (0) (00)   ;(11100101) (345) (229) (E5)   ;(00101110) (56) (46) (2E)   ;(00000101) (5) (5) (05)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(10011111) (237) (159) (9F)   ;
4402
;2232;(00010011) (23) (19) (13)    ;(11110001) (361) (241) (F1)   ;(00100001) (41) (33) (21)   ;(10101100) (254) (172) (AC)   ;(00010000) (20) (16) (10)   ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;
4403
;2240;(00010100) (24) (20) (14)    ;(11110001) (361) (241) (F1)   ;(11001101) (315) (205) (CD)   ;(00111010) (72) (58) (3A)   ;(00000101) (5) (5) (05)   ;(11000011) (303) (195) (C3)   ;(00010000) (20) (16) (10)   ;(00000110) (6) (6) (06)   ;
4404
;2248;(00100001) (41) (33) (21)    ;(11000100) (304) (196) (C4)   ;(00010000) (20) (16) (10)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(11110001) (361) (241) (F1)   ;
4405
;2256;(00100001) (41) (33) (21)    ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;(11100101) (345) (229) (E5)   ;(00101110) (56) (46) (2E)   ;(00001010) (12) (10) (0A)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;
4406
;2264;(10011111) (237) (159) (9F)    ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(00100001) (41) (33) (21)   ;(00000011) (3) (3) (03)   ;(00010001) (21) (17) (11)   ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;
4407
;2272;(11110111) (367) (247) (F7)    ;(00010100) (24) (20) (14)   ;(11110001) (361) (241) (F1)   ;(00100001) (41) (33) (21)   ;(00001011) (13) (11) (0B)   ;(00000000) (0) (0) (00)   ;(11100101) (345) (229) (E5)   ;(00101110) (56) (46) (2E)   ;
4408
;2280;(00001010) (12) (10) (0A)    ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(10011111) (237) (159) (9F)   ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(00100001) (41) (33) (21)   ;(00110101) (65) (53) (35)   ;
4409
;2288;(00010001) (21) (17) (11)    ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(11110001) (361) (241) (F1)   ;(00100001) (41) (33) (21)   ;(00001100) (14) (12) (0C)   ;
4410
;2296;(00000000) (0) (0) (00)    ;(11100101) (345) (229) (E5)   ;(00101110) (56) (46) (2E)   ;(00001010) (12) (10) (0A)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(10011111) (237) (159) (9F)   ;(00010011) (23) (19) (13)   ;
4411
;2304;(11110001) (361) (241) (F1)    ;(00100001) (41) (33) (21)   ;(01100111) (147) (103) (67)   ;(00010001) (21) (17) (11)   ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;
4412
;2312;(00100001) (41) (33) (21)    ;(10011001) (231) (153) (99)   ;(00010001) (21) (17) (11)   ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(00100001) (41) (33) (21)   ;
4413
;2320;(10100010) (242) (162) (A2)    ;(00010001) (21) (17) (11)   ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(00100001) (41) (33) (21)   ;(11010000) (320) (208) (D0)   ;
4414
;2328;(01010111) (127) (87) (57)    ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(10000011) (203) (131) (83)   ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(01000101) (105) (69) (45)   ;(11000101) (305) (197) (C5)   ;
4415
;2336;(00110011) (63) (51) (33)    ;(11001101) (315) (205) (CD)   ;(00101000) (50) (40) (28)   ;(00010100) (24) (20) (14)   ;(00110011) (63) (51) (33)   ;(00100001) (41) (33) (21)   ;(11010001) (321) (209) (D1)   ;(01010111) (127) (87) (57)   ;
4416
;2344;(11100101) (345) (229) (E5)    ;(11001101) (315) (205) (CD)   ;(10000011) (203) (131) (83)   ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(01000101) (105) (69) (45)   ;(11000101) (305) (197) (C5)   ;(00110011) (63) (51) (33)   ;
4417
;2352;(11001101) (315) (205) (CD)    ;(00110000) (60) (48) (30)   ;(00010100) (24) (20) (14)   ;(00110011) (63) (51) (33)   ;(00100001) (41) (33) (21)   ;(11001011) (313) (203) (CB)   ;(00010001) (21) (17) (11)   ;(11100101) (345) (229) (E5)   ;
4418
;2360;(11001101) (315) (205) (CD)    ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(00100001) (41) (33) (21)   ;(11010010) (322) (210) (D2)   ;(00010001) (21) (17) (11)   ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;
4419
;2368;(11110111) (367) (247) (F7)    ;(00010100) (24) (20) (14)   ;(00100001) (41) (33) (21)   ;(11011001) (331) (217) (D9)   ;(00010001) (21) (17) (11)   ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;
4420
;2376;(00010100) (24) (20) (14)    ;(00100001) (41) (33) (21)   ;(11100001) (341) (225) (E1)   ;(00010001) (21) (17) (11)   ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;
4421
;2384;(11110001) (361) (241) (F1)    ;(11001101) (315) (205) (CD)   ;(01011110) (136) (94) (5E)   ;(00010011) (23) (19) (13)   ;(11000011) (303) (195) (C3)   ;(00010000) (20) (16) (10)   ;(00000110) (6) (6) (06)   ;(11001101) (315) (205) (CD)   ;
4422
;2392;(01011110) (136) (94) (5E)    ;(00010011) (23) (19) (13)   ;(00100001) (41) (33) (21)   ;(00000010) (2) (2) (02)   ;(00000000) (0) (0) (00)   ;(11100101) (345) (229) (E5)   ;(00101110) (56) (46) (2E)   ;(00000101) (5) (5) (05)   ;
4423
;2400;(11100101) (345) (229) (E5)    ;(11001101) (315) (205) (CD)   ;(10011111) (237) (159) (9F)   ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(00100001) (41) (33) (21)   ;(11101000) (350) (232) (E8)   ;(00010001) (21) (17) (11)   ;
4424
;2408;(11100011) (343) (227) (E3)    ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(11110001) (361) (241) (F1)   ;(00100001) (41) (33) (21)   ;(00000011) (3) (3) (03)   ;(00000000) (0) (0) (00)   ;
4425
;2416;(11100101) (345) (229) (E5)    ;(00101110) (56) (46) (2E)   ;(00000101) (5) (5) (05)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(10011111) (237) (159) (9F)   ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;
4426
;2424;(00100001) (41) (33) (21)    ;(00101101) (55) (45) (2D)   ;(00010010) (22) (18) (12)   ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(00100001) (41) (33) (21)   ;
4427
;2432;(11011000) (330) (216) (D8)    ;(01010111) (127) (87) (57)   ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(10010110) (226) (150) (96)   ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(11011101) (335) (221) (DD)   ;
4428
;2440;(01110101) (165) (117) (75)    ;(11111110) (376) (254) (FE)   ;(11011101) (335) (221) (DD)   ;(01110100) (164) (116) (74)   ;(11111111) (377) (255) (FF)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11111110) (376) (254) (FE)   ;
4429
;2448;(11000110) (306) (198) (C6)    ;(00011101) (35) (29) (1D)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11111100) (374) (252) (FC)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11111111) (377) (255) (FF)   ;
4430
;2456;(11001110) (316) (206) (CE)    ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11111101) (375) (253) (FD)   ;(00010001) (21) (17) (11)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
4431
;2464;(01001011) (113) (75) (4B)    ;(01101011) (153) (107) (6B)   ;(01100010) (142) (98) (62)   ;(11100101) (345) (229) (E5)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(11111110) (376) (254) (FE)   ;(11011101) (335) (221) (DD)   ;
4432
;2472;(01100110) (146) (102) (66)    ;(11111111) (377) (255) (FF)   ;(11100101) (345) (229) (E5)   ;(11111101) (375) (253) (FD)   ;(11100001) (341) (225) (E1)   ;(11100001) (341) (225) (E1)   ;(11000101) (305) (197) (C5)   ;(01001101) (115) (77) (4D)   ;
4433
;2480;(01000100) (104) (68) (44)    ;(11111101) (375) (253) (FD)   ;(00001001) (11) (9) (09)   ;(11000001) (301) (193) (C1)   ;(11100101) (345) (229) (E5)   ;(11010101) (325) (213) (D5)   ;(01111001) (171) (121) (79)   ;(11110101) (365) (245) (F5)   ;
4434
;2488;(00110011) (63) (51) (33)    ;(11111101) (375) (253) (FD)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(01110100) (164) (116) (74)   ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(00110011) (63) (51) (33)   ;
4435
;2496;(11010001) (321) (209) (D1)    ;(11100001) (341) (225) (E1)   ;(01001011) (113) (75) (4B)   ;(00111110) (76) (62) (3E)   ;(00001001) (11) (9) (09)   ;(10010001) (221) (145) (91)   ;(01001111) (117) (79) (4F)   ;(11011101) (335) (221) (DD)   ;
4436
;2504;(01111110) (176) (126) (7E)    ;(11111100) (374) (252) (FC)   ;(10010101) (225) (149) (95)   ;(01101111) (157) (111) (6F)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11111101) (375) (253) (FD)   ;(10011100) (234) (156) (9C)   ;
4437
;2512;(01100111) (147) (103) (67)    ;(11010101) (325) (213) (D5)   ;(01111001) (171) (121) (79)   ;(11110101) (365) (245) (F5)   ;(00110011) (63) (51) (33)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(01110100) (164) (116) (74)   ;
4438
;2520;(00010011) (23) (19) (13)    ;(11110001) (361) (241) (F1)   ;(00110011) (63) (51) (33)   ;(11010001) (321) (209) (D1)   ;(00010011) (23) (19) (13)   ;(01111011) (173) (123) (7B)   ;(11010110) (326) (214) (D6)   ;(00001010) (12) (10) (0A)   ;
4439
;2528;(01111010) (172) (122) (7A)    ;(00010111) (27) (23) (17)   ;(00111111) (77) (63) (3F)   ;(00011111) (37) (31) (1F)   ;(11011110) (336) (222) (DE)   ;(10000000) (200) (128) (80)   ;(00111000) (70) (56) (38)   ;(10111000) (270) (184) (B8)   ;
4440
;2536;(00100001) (41) (33) (21)    ;(00000101) (5) (5) (05)   ;(00000000) (0) (0) (00)   ;(11100101) (345) (229) (E5)   ;(00101110) (56) (46) (2E)   ;(00000101) (5) (5) (05)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;
4441
;2544;(10011111) (237) (159) (9F)    ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(00100001) (41) (33) (21)   ;(01011010) (132) (90) (5A)   ;(00010010) (22) (18) (12)   ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;
4442
;2552;(11110111) (367) (247) (F7)    ;(00010100) (24) (20) (14)   ;(11110001) (361) (241) (F1)   ;(00100001) (41) (33) (21)   ;(00000101) (5) (5) (05)   ;(00000000) (0) (0) (00)   ;(11100101) (345) (229) (E5)   ;(00101110) (56) (46) (2E)   ;
4443
;2560;(00101000) (50) (40) (28)    ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(10011111) (237) (159) (9F)   ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(00100001) (41) (33) (21)   ;(01101010) (152) (106) (6A)   ;
4444
;2568;(00010010) (22) (18) (12)    ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(11110001) (361) (241) (F1)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;
4445
;2576;(11111110) (376) (254) (FE)    ;(11000110) (306) (198) (C6)   ;(00010100) (24) (20) (14)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11111100) (374) (252) (FC)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;
4446
;2584;(11111111) (377) (255) (FF)    ;(11001110) (316) (206) (CE)   ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11111101) (375) (253) (FD)   ;(00010001) (21) (17) (11)   ;(00000000) (0) (0) (00)   ;
4447
;2592;(00000000) (0) (0) (00)    ;(00100001) (41) (33) (21)   ;(00000110) (6) (6) (06)   ;(00000000) (0) (0) (00)   ;(00011001) (31) (25) (19)   ;(11011101) (335) (221) (DD)   ;(01110101) (165) (117) (75)   ;(11111010) (372) (250) (FA)   ;
4448
;2600;(11011101) (335) (221) (DD)    ;(01110100) (164) (116) (74)   ;(11111011) (373) (251) (FB)   ;(11010101) (325) (213) (D5)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(11111010) (372) (250) (FA)   ;(11011101) (335) (221) (DD)   ;
4449
;2608;(01100110) (146) (102) (66)    ;(11111011) (373) (251) (FB)   ;(11100101) (345) (229) (E5)   ;(00100001) (41) (33) (21)   ;(00000101) (5) (5) (05)   ;(00000000) (0) (0) (00)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;
4450
;2616;(10011111) (237) (159) (9F)    ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(11110001) (361) (241) (F1)   ;(11010001) (321) (209) (D1)   ;(01001011) (113) (75) (4B)   ;(01000010) (102) (66) (42)   ;(11011101) (335) (221) (DD)   ;
4451
;2624;(01101110) (156) (110) (6E)    ;(11111110) (376) (254) (FE)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(11111111) (377) (255) (FF)   ;(00001001) (11) (9) (09)   ;(11000101) (305) (197) (C5)   ;(11010101) (325) (213) (D5)   ;
4452
;2632;(11100101) (345) (229) (E5)    ;(11001101) (315) (205) (CD)   ;(10000011) (203) (131) (83)   ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(11010001) (321) (209) (D1)   ;(11000001) (301) (193) (C1)   ;(00100110) (46) (38) (26)   ;
4453
;2640;(00000000) (0) (0) (00)    ;(11000101) (305) (197) (C5)   ;(11010101) (325) (213) (D5)   ;(11100101) (345) (229) (E5)   ;(00100001) (41) (33) (21)   ;(01111010) (172) (122) (7A)   ;(00010010) (22) (18) (12)   ;(11100101) (345) (229) (E5)   ;
4454
;2648;(11001101) (315) (205) (CD)    ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(11110001) (361) (241) (F1)   ;(11110001) (361) (241) (F1)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(11111010) (372) (250) (FA)   ;
4455
;2656;(11011101) (335) (221) (DD)    ;(01100110) (146) (102) (66)   ;(11111011) (373) (251) (FB)   ;(11100101) (345) (229) (E5)   ;(00100001) (41) (33) (21)   ;(00101000) (50) (40) (28)   ;(00000000) (0) (0) (00)   ;(11100101) (345) (229) (E5)   ;
4456
;2664;(11001101) (315) (205) (CD)    ;(10011111) (237) (159) (9F)   ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(11110001) (361) (241) (F1)   ;(11010001) (321) (209) (D1)   ;(11000001) (301) (193) (C1)   ;(11011101) (335) (221) (DD)   ;
4457
;2672;(01101110) (156) (110) (6E)    ;(11111100) (374) (252) (FC)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(11111101) (375) (253) (FD)   ;(00001001) (11) (9) (09)   ;(11010101) (325) (213) (D5)   ;(11100101) (345) (229) (E5)   ;
4458
;2680;(11001101) (315) (205) (CD)    ;(10000011) (203) (131) (83)   ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(11010001) (321) (209) (D1)   ;(00100110) (46) (38) (26)   ;(00000000) (0) (0) (00)   ;(11010101) (325) (213) (D5)   ;
4459
;2688;(11100101) (345) (229) (E5)    ;(00100001) (41) (33) (21)   ;(01111010) (172) (122) (7A)   ;(00010010) (22) (18) (12)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;
4460
;2696;(11110001) (361) (241) (F1)    ;(11110001) (361) (241) (F1)   ;(11010001) (321) (209) (D1)   ;(00010011) (23) (19) (13)   ;(01111011) (173) (123) (7B)   ;(11010110) (326) (214) (D6)   ;(00001010) (12) (10) (0A)   ;(01111010) (172) (122) (7A)   ;
4461
;2704;(00010111) (27) (23) (17)    ;(00111111) (77) (63) (3F)   ;(00011111) (37) (31) (1F)   ;(11011110) (336) (222) (DE)   ;(10000000) (200) (128) (80)   ;(00111000) (70) (56) (38)   ;(10001010) (212) (138) (8A)   ;(11001101) (315) (205) (CD)   ;
4462
;2712;(01011110) (136) (94) (5E)    ;(00010011) (23) (19) (13)   ;(11000011) (303) (195) (C3)   ;(00010000) (20) (16) (10)   ;(00000110) (6) (6) (06)   ;(00100001) (41) (33) (21)   ;(01111101) (175) (125) (7D)   ;(00010010) (22) (18) (12)   ;
4463
;2720;(11100101) (345) (229) (E5)    ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(11110001) (361) (241) (F1)   ;(00100001) (41) (33) (21)   ;(00000101) (5) (5) (05)   ;(00000000) (0) (0) (00)   ;
4464
;2728;(11100101) (345) (229) (E5)    ;(00101110) (56) (46) (2E)   ;(00000101) (5) (5) (05)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(10011111) (237) (159) (9F)   ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;
4465
;2736;(11110001) (361) (241) (F1)    ;(00000001) (1) (1) (01)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(11000101) (305) (197) (C5)   ;(00100001) (41) (33) (21)   ;(11001001) (311) (201) (C9)   ;(01010111) (127) (87) (57)   ;
4466
;2744;(11100101) (345) (229) (E5)    ;(11001101) (315) (205) (CD)   ;(10010110) (226) (150) (96)   ;(00010011) (23) (19) (13)   ;(11100011) (343) (227) (E3)   ;(00100001) (41) (33) (21)   ;(11010011) (323) (211) (D3)   ;(00010010) (22) (18) (12)   ;
4467
;2752;(11100101) (345) (229) (E5)    ;(11001101) (315) (205) (CD)   ;(11110111) (367) (247) (F7)   ;(00010100) (24) (20) (14)   ;(11110001) (361) (241) (F1)   ;(11110001) (361) (241) (F1)   ;(11000001) (301) (193) (C1)   ;(00000011) (3) (3) (03)   ;
4468
;2760;(01111001) (171) (121) (79)    ;(11010110) (326) (214) (D6)   ;(11010000) (320) (208) (D0)   ;(01111000) (170) (120) (78)   ;(00010111) (27) (23) (17)   ;(00111111) (77) (63) (3F)   ;(00011111) (37) (31) (1F)   ;(11011110) (336) (222) (DE)   ;
4469
;2768;(10000111) (207) (135) (87)    ;(00111000) (70) (56) (38)   ;(11100001) (341) (225) (E1)   ;(11001101) (315) (205) (CD)   ;(01011110) (136) (94) (5E)   ;(00010011) (23) (19) (13)   ;(11000011) (303) (195) (C3)   ;(00010000) (20) (16) (10)   ;
4470
;2776;(00000110) (6) (6) (06)    ;(01010100) (124) (84) (54)   ;(01100101) (145) (101) (65)   ;(01110011) (163) (115) (73)   ;(01110100) (164) (116) (74)   ;(01010011) (123) (83) (53)   ;(01111001) (171) (121) (79)   ;(01110011) (163) (115) (73)   ;
4471
;2784;(00100000) (40) (32) (20)    ;(00101101) (55) (45) (2D)   ;(00100000) (40) (32) (20)   ;(01000001) (101) (65) (41)   ;(00100000) (40) (32) (20)   ;(01101000) (150) (104) (68)   ;(01100001) (141) (97) (61)   ;(01110010) (162) (114) (72)   ;
4472
;2792;(01100100) (144) (100) (64)    ;(01110111) (167) (119) (77)   ;(01100001) (141) (97) (61)   ;(01110010) (162) (114) (72)   ;(01100101) (145) (101) (65)   ;(00100000) (40) (32) (20)   ;(01110100) (164) (116) (74)   ;(01100101) (145) (101) (65)   ;
4473
;2800;(01110011) (163) (115) (73)    ;(01110100) (164) (116) (74)   ;(00100000) (40) (32) (20)   ;(01110000) (160) (112) (70)   ;(01110010) (162) (114) (72)   ;(01101111) (157) (111) (6F)   ;(01100111) (147) (103) (67)   ;(01110010) (162) (114) (72)   ;
4474
;2808;(01100001) (141) (97) (61)    ;(01101101) (155) (109) (6D)   ;(00100000) (40) (32) (20)   ;(01100110) (146) (102) (66)   ;(01101111) (157) (111) (6F)   ;(01110010) (162) (114) (72)   ;(00100000) (40) (32) (20)   ;(01110100) (164) (116) (74)   ;
4475
;2816;(01101000) (150) (104) (68)    ;(01100101) (145) (101) (65)   ;(00100000) (40) (32) (20)   ;(01011010) (132) (90) (5A)   ;(00111000) (70) (56) (38)   ;(00110000) (60) (48) (30)   ;(01010011) (123) (83) (53)   ;(01101111) (157) (111) (6F)   ;
4476
;2824;(01000011) (103) (67) (43)    ;(00000000) (0) (0) (00)   ;(01010010) (122) (82) (52)   ;(01110101) (165) (117) (75)   ;(01101110) (156) (110) (6E)   ;(01101110) (156) (110) (6E)   ;(01101001) (151) (105) (69)   ;(01101110) (156) (110) (6E)   ;
4477
;2832;(01100111) (147) (103) (67)    ;(00100000) (40) (32) (20)   ;(01101111) (157) (111) (6F)   ;(01101110) (156) (110) (6E)   ;(00100000) (40) (32) (20)   ;(01010000) (120) (80) (50)   ;(01101100) (154) (108) (6C)   ;(01100001) (141) (97) (61)   ;
4478
;2840;(01110100) (164) (116) (74)    ;(01100110) (146) (102) (66)   ;(01101111) (157) (111) (6F)   ;(01110010) (162) (114) (72)   ;(01101101) (155) (109) (6D)   ;(00100000) (40) (32) (20)   ;(00100101) (45) (37) (25)   ;(01110101) (165) (117) (75)   ;
4479
;2848;(00000000) (0) (0) (00)    ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(01000011) (103) (67) (43)   ;(01001111) (117) (79) (4F)   ;
4480
;2856;(01001110) (116) (78) (4E)    ;(01010100) (124) (84) (54)   ;(01010010) (122) (82) (52)   ;(01001111) (117) (79) (4F)   ;(01001100) (114) (76) (4C)   ;(01010011) (123) (83) (53)   ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;
4481
;2864;(00100000) (40) (32) (20)    ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00111101) (75) (61) (3D)   ;(00111101) (75) (61) (3D)   ;(00111101) (75) (61) (3D)   ;
4482
;2872;(00111101) (75) (61) (3D)    ;(00111101) (75) (61) (3D)   ;(00111101) (75) (61) (3D)   ;(00111101) (75) (61) (3D)   ;(00111101) (75) (61) (3D)   ;(00001010) (12) (10) (0A)   ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;
4483
;2880;(00100000) (40) (32) (20)    ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(01010011) (123) (83) (53)   ;(01110111) (167) (119) (77)   ;(01101001) (151) (105) (69)   ;
4484
;2888;(01110100) (164) (116) (74)    ;(01100011) (143) (99) (63)   ;(01101000) (150) (104) (68)   ;(00100000) (40) (32) (20)   ;(00111001) (71) (57) (39)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;
4485
;2896;(00100000) (40) (32) (20)    ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00111101) (75) (61) (3D)   ;(00111101) (75) (61) (3D)   ;(00111110) (76) (62) (3E)   ;(00100000) (40) (32) (20)   ;(01010010) (122) (82) (52)   ;
4486
;2904;(01100101) (145) (101) (65)    ;(01110011) (163) (115) (73)   ;(01100101) (145) (101) (65)   ;(01110100) (164) (116) (74)   ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;
4487
;2912;(00100000) (40) (32) (20)    ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(01010011) (123) (83) (53)   ;(01110111) (167) (119) (77)   ;(01101001) (151) (105) (69)   ;(01110100) (164) (116) (74)   ;(01100011) (143) (99) (63)   ;
4488
;2920;(01101000) (150) (104) (68)    ;(00100000) (40) (32) (20)   ;(00111000) (70) (56) (38)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;
4489
;2928;(00100000) (40) (32) (20)    ;(00111101) (75) (61) (3D)   ;(00111101) (75) (61) (3D)   ;(00111110) (76) (62) (3E)   ;(00100000) (40) (32) (20)   ;(00110011) (63) (51) (33)   ;(00101110) (56) (46) (2E)   ;(00110101) (65) (53) (35)   ;
4490
;2936;(00110111) (67) (55) (37)    ;(01001101) (115) (77) (4D)   ;(01101000) (150) (104) (68)   ;(00100000) (40) (32) (20)   ;(00101111) (57) (47) (2F)   ;(00100000) (40) (32) (20)   ;(00110001) (61) (49) (31)   ;(00110000) (60) (48) (30)   ;
4491
;2944;(01001101) (115) (77) (4D)    ;(01101000) (150) (104) (68)   ;(01111010) (172) (122) (7A)   ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;
4492
;2952;(00100000) (40) (32) (20)    ;(00100000) (40) (32) (20)   ;(01010011) (123) (83) (53)   ;(01110111) (167) (119) (77)   ;(01101001) (151) (105) (69)   ;(01110100) (164) (116) (74)   ;(01100011) (143) (99) (63)   ;(01101000) (150) (104) (68)   ;
4493
;2960;(00100000) (40) (32) (20)    ;(00110001) (61) (49) (31)   ;(00110111) (67) (55) (37)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;
4494
;2968;(00111101) (75) (61) (3D)    ;(00111101) (75) (61) (3D)   ;(00111110) (76) (62) (3E)   ;(00100000) (40) (32) (20)   ;(01010010) (122) (82) (52)   ;(01100101) (145) (101) (65)   ;(01110011) (163) (115) (73)   ;(01100101) (145) (101) (65)   ;
4495
;2976;(01110100) (164) (116) (74)    ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;
4496
;2984;(01010011) (123) (83) (53)    ;(01110111) (167) (119) (77)   ;(01101001) (151) (105) (69)   ;(01110100) (164) (116) (74)   ;(01100011) (143) (99) (63)   ;(01101000) (150) (104) (68)   ;(00100000) (40) (32) (20)   ;(00110001) (61) (49) (31)   ;
4497
;2992;(00110110) (66) (54) (36)    ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00111101) (75) (61) (3D)   ;(00111101) (75) (61) (3D)   ;
4498
;3000;(00111110) (76) (62) (3E)    ;(00100000) (40) (32) (20)   ;(00110011) (63) (51) (33)   ;(00101110) (56) (46) (2E)   ;(00110101) (65) (53) (35)   ;(00110111) (67) (55) (37)   ;(01001101) (115) (77) (4D)   ;(01101000) (150) (104) (68)   ;
4499
;3008;(00100000) (40) (32) (20)    ;(00101111) (57) (47) (2F)   ;(00100000) (40) (32) (20)   ;(00110001) (61) (49) (31)   ;(00110000) (60) (48) (30)   ;(01001101) (115) (77) (4D)   ;(01101000) (150) (104) (68)   ;(01111010) (172) (122) (7A)   ;
4500
;3016;(00001010) (12) (10) (0A)    ;(00000000) (0) (0) (00)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(01010010) (122) (82) (52)   ;
4501
;3024;(01101111) (157) (111) (6F)    ;(01110100) (164) (116) (74)   ;(01100001) (141) (97) (61)   ;(01110010) (162) (114) (72)   ;(01111001) (171) (121) (79)   ;(00100000) (40) (32) (20)   ;(01010000) (120) (80) (50)   ;(01110101) (165) (117) (75)   ;
4502
;3032;(01110100) (164) (116) (74)    ;(01110100) (164) (116) (74)   ;(01101111) (157) (111) (6F)   ;(01101110) (156) (110) (6E)   ;(00100000) (40) (32) (20)   ;(00111101) (75) (61) (3D)   ;(00111101) (75) (61) (3D)   ;(00111110) (76) (62) (3E)   ;
4503
;3040;(00100000) (40) (32) (20)    ;(01010010) (122) (82) (52)   ;(01100101) (145) (101) (65)   ;(01110011) (163) (115) (73)   ;(01100101) (145) (101) (65)   ;(01110100) (164) (116) (74)   ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;
4504
;3048;(00100000) (40) (32) (20)    ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(01010011) (123) (83) (53)   ;(01110111) (167) (119) (77)   ;(01101001) (151) (105) (69)   ;
4505
;3056;(01110100) (164) (116) (74)    ;(01100011) (143) (99) (63)   ;(01101000) (150) (104) (68)   ;(00100000) (40) (32) (20)   ;(00110011) (63) (51) (33)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;
4506
;3064;(00100000) (40) (32) (20)    ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00111101) (75) (61) (3D)   ;(00111101) (75) (61) (3D)   ;(00111110) (76) (62) (3E)   ;(00100000) (40) (32) (20)   ;(00110011) (63) (51) (33)   ;
4507
;3072;(00101110) (56) (46) (2E)    ;(00110101) (65) (53) (35)   ;(00110111) (67) (55) (37)   ;(01001101) (115) (77) (4D)   ;(01101000) (150) (104) (68)   ;(00100000) (40) (32) (20)   ;(00101111) (57) (47) (2F)   ;(00100000) (40) (32) (20)   ;
4508
;3080;(00110001) (61) (49) (31)    ;(00110000) (60) (48) (30)   ;(01001101) (115) (77) (4D)   ;(01101000) (150) (104) (68)   ;(01111010) (172) (122) (7A)   ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;(00100000) (40) (32) (20)   ;
4509
;3088;(00100000) (40) (32) (20)    ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(01001110) (116) (78) (4E)   ;(01101111) (157) (111) (6F)   ;(01110010) (162) (114) (72)   ;(01110100) (164) (116) (74)   ;
4510
;3096;(01101000) (150) (104) (68)    ;(00100000) (40) (32) (20)   ;(01000010) (102) (66) (42)   ;(01110101) (165) (117) (75)   ;(01110100) (164) (116) (74)   ;(01110100) (164) (116) (74)   ;(01101111) (157) (111) (6F)   ;(01101110) (156) (110) (6E)   ;
4511
;3104;(00100000) (40) (32) (20)    ;(00100000) (40) (32) (20)   ;(00111101) (75) (61) (3D)   ;(00111101) (75) (61) (3D)   ;(00111110) (76) (62) (3E)   ;(00100000) (40) (32) (20)   ;(01010010) (122) (82) (52)   ;(01100101) (145) (101) (65)   ;
4512
;3112;(01110011) (163) (115) (73)    ;(01100101) (145) (101) (65)   ;(01110100) (164) (116) (74)   ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;
4513
;3120;(00100000) (40) (32) (20)    ;(00100000) (40) (32) (20)   ;(01010011) (123) (83) (53)   ;(01101111) (157) (111) (6F)   ;(01110101) (165) (117) (75)   ;(01110100) (164) (116) (74)   ;(01101000) (150) (104) (68)   ;(00100000) (40) (32) (20)   ;
4514
;3128;(01000010) (102) (66) (42)    ;(01110101) (165) (117) (75)   ;(01110100) (164) (116) (74)   ;(01110100) (164) (116) (74)   ;(01101111) (157) (111) (6F)   ;(01101110) (156) (110) (6E)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;
4515
;3136;(00111101) (75) (61) (3D)    ;(00111101) (75) (61) (3D)   ;(00111110) (76) (62) (3E)   ;(00100000) (40) (32) (20)   ;(00110011) (63) (51) (33)   ;(00101110) (56) (46) (2E)   ;(00110101) (65) (53) (35)   ;(00110111) (67) (55) (37)   ;
4516
;3144;(01001101) (115) (77) (4D)    ;(01101000) (150) (104) (68)   ;(00100000) (40) (32) (20)   ;(00101111) (57) (47) (2F)   ;(00100000) (40) (32) (20)   ;(00110001) (61) (49) (31)   ;(00110000) (60) (48) (30)   ;(01001101) (115) (77) (4D)   ;
4517
;3152;(01101000) (150) (104) (68)    ;(01111010) (172) (122) (7A)   ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;(00001010) (12) (10) (0A)   ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;(00100000) (40) (32) (20)   ;
4518
;3160;(00100000) (40) (32) (20)    ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(01010100) (124) (84) (54)   ;(01101000) (150) (104) (68)   ;(01101001) (151) (105) (69)   ;(01110011) (163) (115) (73)   ;
4519
;3168;(00100000) (40) (32) (20)    ;(01101001) (151) (105) (69)   ;(01101110) (156) (110) (6E)   ;(01110011) (163) (115) (73)   ;(01110100) (164) (116) (74)   ;(01110010) (162) (114) (72)   ;(01110101) (165) (117) (75)   ;(01100011) (143) (99) (63)   ;
4520
;3176;(01110100) (164) (116) (74)    ;(01101111) (157) (111) (6F)   ;(01101110) (156) (110) (6E)   ;(01110011) (163) (115) (73)   ;(00100000) (40) (32) (20)   ;(01100001) (141) (97) (61)   ;(01110011) (163) (115) (73)   ;(01110011) (163) (115) (73)   ;
4521
;3184;(01110101) (165) (117) (75)    ;(01101101) (155) (109) (6D)   ;(01100101) (145) (101) (65)   ;(00100000) (40) (32) (20)   ;(01111001) (171) (121) (79)   ;(01101111) (157) (111) (6F)   ;(01110101) (165) (117) (75)   ;(00100000) (40) (32) (20)   ;
4522
;3192;(01101000) (150) (104) (68)    ;(01100001) (141) (97) (61)   ;(01110110) (166) (118) (76)   ;(01100101) (145) (101) (65)   ;(00100000) (40) (32) (20)   ;(01110100) (164) (116) (74)   ;(01101000) (150) (104) (68)   ;(01100101) (145) (101) (65)   ;
4523
;3200;(00100000) (40) (32) (20)    ;(01110000) (160) (112) (70)   ;(01110101) (165) (117) (75)   ;(01110011) (163) (115) (73)   ;(01101000) (150) (104) (68)   ;(01100010) (142) (98) (62)   ;(01110101) (165) (117) (75)   ;(01110100) (164) (116) (74)   ;
4524
;3208;(01110100) (164) (116) (74)    ;(01101111) (157) (111) (6F)   ;(01101110) (156) (110) (6E)   ;(01110011) (163) (115) (73)   ;(00100000) (40) (32) (20)   ;(01101101) (155) (109) (6D)   ;(01101111) (157) (111) (6F)   ;(01100100) (144) (100) (64)   ;
4525
;3216;(01110101) (165) (117) (75)    ;(01101100) (154) (108) (6C)   ;(01100101) (145) (101) (65)   ;(00100000) (40) (32) (20)   ;(01101001) (151) (105) (69)   ;(01101110) (156) (110) (6E)   ;(01110011) (163) (115) (73)   ;(01110100) (164) (116) (74)   ;
4526
;3224;(01100001) (141) (97) (61)    ;(01101100) (154) (108) (6C)   ;(01101100) (154) (108) (6C)   ;(01100101) (145) (101) (65)   ;(01100100) (144) (100) (64)   ;(00101110) (56) (46) (2E)   ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;
4527
;3232;(00100000) (40) (32) (20)    ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(01010100) (124) (84) (54)   ;(01101000) (150) (104) (68)   ;(01100101) (145) (101) (65)   ;
4528
;3240;(01110011) (163) (115) (73)    ;(01100101) (145) (101) (65)   ;(00100000) (40) (32) (20)   ;(01110000) (160) (112) (70)   ;(01110101) (165) (117) (75)   ;(01110011) (163) (115) (73)   ;(01101000) (150) (104) (68)   ;(01100010) (142) (98) (62)   ;
4529
;3248;(01110101) (165) (117) (75)    ;(01110100) (164) (116) (74)   ;(01101111) (157) (111) (6F)   ;(01101110) (156) (110) (6E)   ;(01110011) (163) (115) (73)   ;(00100000) (40) (32) (20)   ;(01110111) (167) (119) (77)   ;(01101001) (151) (105) (69)   ;
4530
;3256;(01101100) (154) (108) (6C)    ;(01101100) (154) (108) (6C)   ;(00100000) (40) (32) (20)   ;(01110100) (164) (116) (74)   ;(01110010) (162) (114) (72)   ;(01101001) (151) (105) (69)   ;(01100111) (147) (103) (67)   ;(01100111) (147) (103) (67)   ;
4531
;3264;(01100101) (145) (101) (65)    ;(01110010) (162) (114) (72)   ;(00100000) (40) (32) (20)   ;(01110100) (164) (116) (74)   ;(01101000) (150) (104) (68)   ;(01100101) (145) (101) (65)   ;(00100000) (40) (32) (20)   ;(01110100) (164) (116) (74)   ;
4532
;3272;(01100101) (145) (101) (65)    ;(01110011) (163) (115) (73)   ;(01110100) (164) (116) (74)   ;(01110011) (163) (115) (73)   ;(00111010) (72) (58) (3A)   ;(00001010) (12) (10) (0A)   ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;
4533
;3280;(00100000) (40) (32) (20)    ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00110000) (60) (48) (30)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;
4534
;3288;(00111101) (75) (61) (3D)    ;(00111101) (75) (61) (3D)   ;(00111110) (76) (62) (3E)   ;(00100000) (40) (32) (20)   ;(01010010) (122) (82) (52)   ;(01000001) (101) (65) (41)   ;(01001101) (115) (77) (4D)   ;(00100000) (40) (32) (20)   ;
4535
;3296;(00100000) (40) (32) (20)    ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(01110010) (162) (114) (72)   ;(01100101) (145) (101) (65)   ;(01100001) (141) (97) (61)   ;(01100100) (144) (100) (64)   ;
4536
;3304;(00101111) (57) (47) (2F)    ;(01110111) (167) (119) (77)   ;(01110010) (162) (114) (72)   ;(01101001) (151) (105) (69)   ;(01110100) (164) (116) (74)   ;(01100101) (145) (101) (65)   ;(00100000) (40) (32) (20)   ;(01110100) (164) (116) (74)   ;
4537
;3312;(01100101) (145) (101) (65)    ;(01110011) (163) (115) (73)   ;(01110100) (164) (116) (74)   ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;
4538
;3320;(00100000) (40) (32) (20)    ;(00100000) (40) (32) (20)   ;(00110001) (61) (49) (31)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00111101) (75) (61) (3D)   ;(00111101) (75) (61) (3D)   ;(00111110) (76) (62) (3E)   ;
4539
;3328;(00100000) (40) (32) (20)    ;(01010110) (126) (86) (56)   ;(01010010) (122) (82) (52)   ;(01000001) (101) (65) (41)   ;(01001101) (115) (77) (4D)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;
4540
;3336;(00100000) (40) (32) (20)    ;(01110010) (162) (114) (72)   ;(01100101) (145) (101) (65)   ;(01100001) (141) (97) (61)   ;(01100100) (144) (100) (64)   ;(00101111) (57) (47) (2F)   ;(01110111) (167) (119) (77)   ;(01110010) (162) (114) (72)   ;
4541
;3344;(01101001) (151) (105) (69)    ;(01110100) (164) (116) (74)   ;(01100101) (145) (101) (65)   ;(00100000) (40) (32) (20)   ;(01110100) (164) (116) (74)   ;(01100101) (145) (101) (65)   ;(01110011) (163) (115) (73)   ;(01110100) (164) (116) (74)   ;
4542
;3352;(00001010) (12) (10) (0A)    ;(00000000) (0) (0) (00)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00110010) (62) (50) (32)   ;
4543
;3360;(00100000) (40) (32) (20)    ;(00100000) (40) (32) (20)   ;(00111101) (75) (61) (3D)   ;(00111101) (75) (61) (3D)   ;(00111110) (76) (62) (3E)   ;(00100000) (40) (32) (20)   ;(01000011) (103) (67) (43)   ;(01101000) (150) (104) (68)   ;
4544
;3368;(01100001) (141) (97) (61)    ;(01110010) (162) (114) (72)   ;(01010010) (122) (82) (52)   ;(01000001) (101) (65) (41)   ;(01001101) (115) (77) (4D)   ;(00100000) (40) (32) (20)   ;(01110010) (162) (114) (72)   ;(01100101) (145) (101) (65)   ;
4545
;3376;(01100001) (141) (97) (61)    ;(01100100) (144) (100) (64)   ;(00101111) (57) (47) (2F)   ;(01110111) (167) (119) (77)   ;(01110010) (162) (114) (72)   ;(01101001) (151) (105) (69)   ;(01110100) (164) (116) (74)   ;(01100101) (145) (101) (65)   ;
4546
;3384;(00100000) (40) (32) (20)    ;(01110100) (164) (116) (74)   ;(01100101) (145) (101) (65)   ;(01110011) (163) (115) (73)   ;(01110100) (164) (116) (74)   ;(00100000) (40) (32) (20)   ;(00101000) (50) (40) (28)   ;(00100001) (41) (33) (21)   ;
4547
;3392;(00100001) (41) (33) (21)    ;(01110111) (167) (119) (77)   ;(01101001) (151) (105) (69)   ;(01101100) (154) (108) (6C)   ;(01101100) (154) (108) (6C)   ;(00100000) (40) (32) (20)   ;(01101101) (155) (109) (6D)   ;(01100101) (145) (101) (65)   ;
4548
;3400;(01110011) (163) (115) (73)    ;(01110011) (163) (115) (73)   ;(00100000) (40) (32) (20)   ;(01110011) (163) (115) (73)   ;(01100011) (143) (99) (63)   ;(01110010) (162) (114) (72)   ;(01100101) (145) (101) (65)   ;(01100101) (145) (101) (65)   ;
4549
;3408;(01101110) (156) (110) (6E)    ;(00100001) (41) (33) (21)   ;(00100001) (41) (33) (21)   ;(00101001) (51) (41) (29)   ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;
4550
;3416;(00100000) (40) (32) (20)    ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00110011) (63) (51) (33)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00111101) (75) (61) (3D)   ;(00111101) (75) (61) (3D)   ;
4551
;3424;(00111110) (76) (62) (3E)    ;(00100000) (40) (32) (20)   ;(01000011) (103) (67) (43)   ;(01101000) (150) (104) (68)   ;(01100001) (141) (97) (61)   ;(01110010) (162) (114) (72)   ;(01010010) (122) (82) (52)   ;(01000001) (101) (65) (41)   ;
4552
;3432;(01001101) (115) (77) (4D)    ;(00100000) (40) (32) (20)   ;(01100011) (143) (99) (63)   ;(01101000) (150) (104) (68)   ;(01100001) (141) (97) (61)   ;(01110010) (162) (114) (72)   ;(01100001) (141) (97) (61)   ;(01100011) (143) (99) (63)   ;
4553
;3440;(01110100) (164) (116) (74)    ;(01100101) (145) (101) (65)   ;(01110010) (162) (114) (72)   ;(00100000) (40) (32) (20)   ;(01110010) (162) (114) (72)   ;(01100101) (145) (101) (65)   ;(01100100) (144) (100) (64)   ;(01100101) (145) (101) (65)   ;
4554
;3448;(01100110) (146) (102) (66)    ;(01101001) (151) (105) (69)   ;(01101110) (156) (110) (6E)   ;(01101001) (151) (105) (69)   ;(01110100) (164) (116) (74)   ;(01101001) (151) (105) (69)   ;(01101111) (157) (111) (6F)   ;(01101110) (156) (110) (6E)   ;
4555
;3456;(00001010) (12) (10) (0A)    ;(00000000) (0) (0) (00)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00110100) (64) (52) (34)   ;
4556
;3464;(00100000) (40) (32) (20)    ;(00100000) (40) (32) (20)   ;(00111101) (75) (61) (3D)   ;(00111101) (75) (61) (3D)   ;(00111110) (76) (62) (3E)   ;(00100000) (40) (32) (20)   ;(01001100) (114) (76) (4C)   ;(01000011) (103) (67) (43)   ;
4557
;3472;(01000100) (104) (68) (44)    ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(01010000) (120) (80) (50)   ;(01110010) (162) (114) (72)   ;
4558
;3480;(01101001) (151) (105) (69)    ;(01101110) (156) (110) (6E)   ;(01110100) (164) (116) (74)   ;(00100000) (40) (32) (20)   ;(01110100) (164) (116) (74)   ;(01100101) (145) (101) (65)   ;(01111000) (170) (120) (78)   ;(01110100) (164) (116) (74)   ;
4559
;3488;(00100000) (40) (32) (20)    ;(01110100) (164) (116) (74)   ;(01101111) (157) (111) (6F)   ;(00100000) (40) (32) (20)   ;(01001100) (114) (76) (4C)   ;(01000011) (103) (67) (43)   ;(01000100) (104) (68) (44)   ;(00100000) (40) (32) (20)   ;
4560
;3496;(01110011) (163) (115) (73)    ;(01100011) (143) (99) (63)   ;(01110010) (162) (114) (72)   ;(01100101) (145) (101) (65)   ;(01100101) (145) (101) (65)   ;(01101110) (156) (110) (6E)   ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;
4561
;3504;(00100000) (40) (32) (20)    ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(01000001) (101) (65) (41)   ;(01100110) (146) (102) (66)   ;(01110100) (164) (116) (74)   ;
4562
;3512;(01100101) (145) (101) (65)    ;(01110010) (162) (114) (72)   ;(00100000) (40) (32) (20)   ;(01110100) (164) (116) (74)   ;(01100101) (145) (101) (65)   ;(01110011) (163) (115) (73)   ;(01110100) (164) (116) (74)   ;(00100000) (40) (32) (20)   ;
4563
;3520;(01100101) (145) (101) (65)    ;(01101110) (156) (110) (6E)   ;(01100100) (144) (100) (64)   ;(01110011) (163) (115) (73)   ;(00101100) (54) (44) (2C)   ;(00100000) (40) (32) (20)   ;(01110000) (160) (112) (70)   ;(01110010) (162) (114) (72)   ;
4564
;3528;(01100101) (145) (101) (65)    ;(01110011) (163) (115) (73)   ;(01110011) (163) (115) (73)   ;(00100000) (40) (32) (20)   ;(01101100) (154) (108) (6C)   ;(01100101) (145) (101) (65)   ;(01100110) (146) (102) (66)   ;(01110100) (164) (116) (74)   ;
4565
;3536;(00100000) (40) (32) (20)    ;(01001010) (112) (74) (4A)   ;(01101111) (157) (111) (6F)   ;(01111001) (171) (121) (79)   ;(00100000) (40) (32) (20)   ;(01100010) (142) (98) (62)   ;(01110101) (165) (117) (75)   ;(01110100) (164) (116) (74)   ;
4566
;3544;(01110100) (164) (116) (74)    ;(01101111) (157) (111) (6F)   ;(01101110) (156) (110) (6E)   ;(00100000) (40) (32) (20)   ;(01110100) (164) (116) (74)   ;(01101111) (157) (111) (6F)   ;(00100000) (40) (32) (20)   ;(01110010) (162) (114) (72)   ;
4567
;3552;(01100101) (145) (101) (65)    ;(01110100) (164) (116) (74)   ;(01110101) (165) (117) (75)   ;(01110010) (162) (114) (72)   ;(01101110) (156) (110) (6E)   ;(00100000) (40) (32) (20)   ;(01110100) (164) (116) (74)   ;(01101111) (157) (111) (6F)   ;
4568
;3560;(00100000) (40) (32) (20)    ;(01101101) (155) (109) (6D)   ;(01100001) (141) (97) (61)   ;(01101001) (151) (105) (69)   ;(01101110) (156) (110) (6E)   ;(00100000) (40) (32) (20)   ;(01110011) (163) (115) (73)   ;(01100011) (143) (99) (63)   ;
4569
;3568;(01110010) (162) (114) (72)    ;(01100101) (145) (101) (65)   ;(01100101) (145) (101) (65)   ;(01101110) (156) (110) (6E)   ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;
4570
;3576;(00100000) (40) (32) (20)    ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(01010101) (125) (85) (55)   ;(01110011) (163) (115) (73)   ;(01100101) (145) (101) (65)   ;(00100000) (40) (32) (20)   ;(01110100) (164) (116) (74)   ;
4571
;3584;(01101000) (150) (104) (68)    ;(01100101) (145) (101) (65)   ;(00100000) (40) (32) (20)   ;(01110100) (164) (116) (74)   ;(01101000) (150) (104) (68)   ;(01110010) (162) (114) (72)   ;(01100101) (145) (101) (65)   ;(01100101) (145) (101) (65)   ;
4572
;3592;(00100000) (40) (32) (20)    ;(01110010) (162) (114) (72)   ;(01101001) (151) (105) (69)   ;(01100111) (147) (103) (67)   ;(01101000) (150) (104) (68)   ;(01110100) (164) (116) (74)   ;(00100000) (40) (32) (20)   ;(01010011) (123) (83) (53)   ;
4573
;3600;(01110111) (167) (119) (77)    ;(01101001) (151) (105) (69)   ;(01110100) (164) (116) (74)   ;(01100011) (143) (99) (63)   ;(01101000) (150) (104) (68)   ;(01100101) (145) (101) (65)   ;(01110011) (163) (115) (73)   ;(00100000) (40) (32) (20)   ;
4574
;3608;(01110100) (164) (116) (74)    ;(01101111) (157) (111) (6F)   ;(00100000) (40) (32) (20)   ;(01110010) (162) (114) (72)   ;(01110101) (165) (117) (75)   ;(01101110) (156) (110) (6E)   ;(00100000) (40) (32) (20)   ;(01100100) (144) (100) (64)   ;
4575
;3616;(01101001) (151) (105) (69)    ;(01100110) (146) (102) (66)   ;(01100110) (146) (102) (66)   ;(01100101) (145) (101) (65)   ;(01110010) (162) (114) (72)   ;(01100101) (145) (101) (65)   ;(01101110) (156) (110) (6E)   ;(01110100) (164) (116) (74)   ;
4576
;3624;(00100000) (40) (32) (20)    ;(01110100) (164) (116) (74)   ;(01100101) (145) (101) (65)   ;(01110011) (163) (115) (73)   ;(01110100) (164) (116) (74)   ;(01110011) (163) (115) (73)   ;(00101110) (56) (46) (2E)   ;(00001010) (12) (10) (0A)   ;
4577
;3632;(00000000) (0) (0) (00)    ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(01010011) (123) (83) (53)   ;(01110111) (167) (119) (77)   ;
4578
;3640;(01101001) (151) (105) (69)    ;(01110100) (164) (116) (74)   ;(01100011) (143) (99) (63)   ;(01101000) (150) (104) (68)   ;(00100000) (40) (32) (20)   ;(01110000) (160) (112) (70)   ;(01101111) (157) (111) (6F)   ;(01110011) (163) (115) (73)   ;
4579
;3648;(01101001) (151) (105) (69)    ;(01110100) (164) (116) (74)   ;(01101001) (151) (105) (69)   ;(01101111) (157) (111) (6F)   ;(01101110) (156) (110) (6E)   ;(01110011) (163) (115) (73)   ;(00100000) (40) (32) (20)   ;(01100001) (141) (97) (61)   ;
4580
;3656;(01101110) (156) (110) (6E)    ;(01100100) (144) (100) (64)   ;(00100000) (40) (32) (20)   ;(01110100) (164) (116) (74)   ;(01100101) (145) (101) (65)   ;(01110011) (163) (115) (73)   ;(01110100) (164) (116) (74)   ;(01110011) (163) (115) (73)   ;
4581
;3664;(00111010) (72) (58) (3A)    ;(00001010) (12) (10) (0A)   ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;
4582
;3672;(00100000) (40) (32) (20)    ;(00110000) (60) (48) (30)   ;(00110000) (60) (48) (30)   ;(00110001) (61) (49) (31)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00111101) (75) (61) (3D)   ;(00111101) (75) (61) (3D)   ;
4583
;3680;(00111110) (76) (62) (3E)    ;(00100000) (40) (32) (20)   ;(01010010) (122) (82) (52)   ;(01000001) (101) (65) (41)   ;(01001101) (115) (77) (4D)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;
4584
;3688;(00100000) (40) (32) (20)    ;(00100000) (40) (32) (20)   ;(01110010) (162) (114) (72)   ;(01100101) (145) (101) (65)   ;(01100001) (141) (97) (61)   ;(01100100) (144) (100) (64)   ;(00101111) (57) (47) (2F)   ;(01110111) (167) (119) (77)   ;
4585
;3696;(01110010) (162) (114) (72)    ;(01101001) (151) (105) (69)   ;(01110100) (164) (116) (74)   ;(01100101) (145) (101) (65)   ;(00100000) (40) (32) (20)   ;(01110100) (164) (116) (74)   ;(01100101) (145) (101) (65)   ;(01110011) (163) (115) (73)   ;
4586
;3704;(01110100) (164) (116) (74)    ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;
4587
;3712;(00110000) (60) (48) (30)    ;(00110001) (61) (49) (31)   ;(00110000) (60) (48) (30)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00111101) (75) (61) (3D)   ;(00111101) (75) (61) (3D)   ;(00111110) (76) (62) (3E)   ;
4588
;3720;(00100000) (40) (32) (20)    ;(01010110) (126) (86) (56)   ;(01010010) (122) (82) (52)   ;(01000001) (101) (65) (41)   ;(01001101) (115) (77) (4D)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;
4589
;3728;(00100000) (40) (32) (20)    ;(01110010) (162) (114) (72)   ;(01100101) (145) (101) (65)   ;(01100001) (141) (97) (61)   ;(01100100) (144) (100) (64)   ;(00101111) (57) (47) (2F)   ;(01110111) (167) (119) (77)   ;(01110010) (162) (114) (72)   ;
4590
;3736;(01101001) (151) (105) (69)    ;(01110100) (164) (116) (74)   ;(01100101) (145) (101) (65)   ;(00100000) (40) (32) (20)   ;(01110100) (164) (116) (74)   ;(01100101) (145) (101) (65)   ;(01110011) (163) (115) (73)   ;(01110100) (164) (116) (74)   ;
4591
;3744;(00001010) (12) (10) (0A)    ;(00000000) (0) (0) (00)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00110000) (60) (48) (30)   ;
4592
;3752;(00110001) (61) (49) (31)    ;(00110001) (61) (49) (31)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00111101) (75) (61) (3D)   ;(00111101) (75) (61) (3D)   ;(00111110) (76) (62) (3E)   ;(00100000) (40) (32) (20)   ;
4593
;3760;(01000011) (103) (67) (43)    ;(01101000) (150) (104) (68)   ;(01100001) (141) (97) (61)   ;(01110010) (162) (114) (72)   ;(01010010) (122) (82) (52)   ;(01000001) (101) (65) (41)   ;(01001101) (115) (77) (4D)   ;(00100000) (40) (32) (20)   ;
4594
;3768;(01110010) (162) (114) (72)    ;(01100101) (145) (101) (65)   ;(01100001) (141) (97) (61)   ;(01100100) (144) (100) (64)   ;(00101111) (57) (47) (2F)   ;(01110111) (167) (119) (77)   ;(01110010) (162) (114) (72)   ;(01101001) (151) (105) (69)   ;
4595
;3776;(01110100) (164) (116) (74)    ;(01100101) (145) (101) (65)   ;(00100000) (40) (32) (20)   ;(01110100) (164) (116) (74)   ;(01100101) (145) (101) (65)   ;(01110011) (163) (115) (73)   ;(01110100) (164) (116) (74)   ;(00100000) (40) (32) (20)   ;
4596
;3784;(00101000) (50) (40) (28)    ;(00100001) (41) (33) (21)   ;(00100001) (41) (33) (21)   ;(01110111) (167) (119) (77)   ;(01101001) (151) (105) (69)   ;(01101100) (154) (108) (6C)   ;(01101100) (154) (108) (6C)   ;(00100000) (40) (32) (20)   ;
4597
;3792;(01101101) (155) (109) (6D)    ;(01100101) (145) (101) (65)   ;(01110011) (163) (115) (73)   ;(01110011) (163) (115) (73)   ;(00100000) (40) (32) (20)   ;(01110011) (163) (115) (73)   ;(01100011) (143) (99) (63)   ;(01110010) (162) (114) (72)   ;
4598
;3800;(01100101) (145) (101) (65)    ;(01100101) (145) (101) (65)   ;(01101110) (156) (110) (6E)   ;(00100001) (41) (33) (21)   ;(00100001) (41) (33) (21)   ;(00101001) (51) (41) (29)   ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;
4599
;3808;(00100000) (40) (32) (20)    ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00110001) (61) (49) (31)   ;(00110000) (60) (48) (30)   ;(00110000) (60) (48) (30)   ;
4600
;3816;(00100000) (40) (32) (20)    ;(00100000) (40) (32) (20)   ;(00111101) (75) (61) (3D)   ;(00111101) (75) (61) (3D)   ;(00111110) (76) (62) (3E)   ;(00100000) (40) (32) (20)   ;(01000011) (103) (67) (43)   ;(01101000) (150) (104) (68)   ;
4601
;3824;(01100001) (141) (97) (61)    ;(01110010) (162) (114) (72)   ;(01010010) (122) (82) (52)   ;(01000001) (101) (65) (41)   ;(01001101) (115) (77) (4D)   ;(00100000) (40) (32) (20)   ;(01100011) (143) (99) (63)   ;(01101000) (150) (104) (68)   ;
4602
;3832;(01100001) (141) (97) (61)    ;(01110010) (162) (114) (72)   ;(01100001) (141) (97) (61)   ;(01100011) (143) (99) (63)   ;(01110100) (164) (116) (74)   ;(01100101) (145) (101) (65)   ;(01110010) (162) (114) (72)   ;(00100000) (40) (32) (20)   ;
4603
;3840;(01110010) (162) (114) (72)    ;(01100101) (145) (101) (65)   ;(01100100) (144) (100) (64)   ;(01100101) (145) (101) (65)   ;(01100110) (146) (102) (66)   ;(01101001) (151) (105) (69)   ;(01101110) (156) (110) (6E)   ;(01101001) (151) (105) (69)   ;
4604
;3848;(01110100) (164) (116) (74)    ;(01101001) (151) (105) (69)   ;(01101111) (157) (111) (6F)   ;(01101110) (156) (110) (6E)   ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;
4605
;3856;(00100000) (40) (32) (20)    ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00110001) (61) (49) (31)   ;(00110000) (60) (48) (30)   ;(00110001) (61) (49) (31)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;
4606
;3864;(00111101) (75) (61) (3D)    ;(00111101) (75) (61) (3D)   ;(00111110) (76) (62) (3E)   ;(00100000) (40) (32) (20)   ;(01001100) (114) (76) (4C)   ;(01000011) (103) (67) (43)   ;(01000100) (104) (68) (44)   ;(00100000) (40) (32) (20)   ;
4607
;3872;(00100000) (40) (32) (20)    ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(01010000) (120) (80) (50)   ;(01110010) (162) (114) (72)   ;(01101001) (151) (105) (69)   ;(01101110) (156) (110) (6E)   ;
4608
;3880;(01110100) (164) (116) (74)    ;(00100000) (40) (32) (20)   ;(01110100) (164) (116) (74)   ;(01100101) (145) (101) (65)   ;(01111000) (170) (120) (78)   ;(01110100) (164) (116) (74)   ;(00100000) (40) (32) (20)   ;(01110100) (164) (116) (74)   ;
4609
;3888;(01101111) (157) (111) (6F)    ;(00100000) (40) (32) (20)   ;(01001100) (114) (76) (4C)   ;(01000011) (103) (67) (43)   ;(01000100) (104) (68) (44)   ;(00100000) (40) (32) (20)   ;(01110011) (163) (115) (73)   ;(01100011) (143) (99) (63)   ;
4610
;3896;(01110010) (162) (114) (72)    ;(01100101) (145) (101) (65)   ;(01100101) (145) (101) (65)   ;(01101110) (156) (110) (6E)   ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;
4611
;3904;(00100000) (40) (32) (20)    ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00110001) (61) (49) (31)   ;(00110001) (61) (49) (31)   ;(00110000) (60) (48) (30)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;
4612
;3912;(00111101) (75) (61) (3D)    ;(00111101) (75) (61) (3D)   ;(00111110) (76) (62) (3E)   ;(00100000) (40) (32) (20)   ;(01001011) (113) (75) (4B)   ;(01000010) (102) (66) (42)   ;(01000100) (104) (68) (44)   ;(00100000) (40) (32) (20)   ;
4613
;3920;(00100000) (40) (32) (20)    ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(01010010) (122) (82) (52)   ;(01100101) (145) (101) (65)   ;(01100001) (141) (97) (61)   ;(01100100) (144) (100) (64)   ;
4614
;3928;(00100000) (40) (32) (20)    ;(01101011) (153) (107) (6B)   ;(01100101) (145) (101) (65)   ;(01111001) (171) (121) (79)   ;(01100010) (142) (98) (62)   ;(01101111) (157) (111) (6F)   ;(01100001) (141) (97) (61)   ;(01110010) (162) (114) (72)   ;
4615
;3936;(01100100) (144) (100) (64)    ;(00100000) (40) (32) (20)   ;(01100001) (141) (97) (61)   ;(01101110) (156) (110) (6E)   ;(01100100) (144) (100) (64)   ;(00100000) (40) (32) (20)   ;(01110000) (160) (112) (70)   ;(01110010) (162) (114) (72)   ;
4616
;3944;(01101001) (151) (105) (69)    ;(01101110) (156) (110) (6E)   ;(01110100) (164) (116) (74)   ;(00100000) (40) (32) (20)   ;(01100011) (143) (99) (63)   ;(01101000) (150) (104) (68)   ;(01100001) (141) (97) (61)   ;(01110010) (162) (114) (72)   ;
4617
;3952;(01100001) (141) (97) (61)    ;(01100011) (143) (99) (63)   ;(01110100) (164) (116) (74)   ;(01100101) (145) (101) (65)   ;(01110010) (162) (114) (72)   ;(00100000) (40) (32) (20)   ;(01101111) (157) (111) (6F)   ;(01101110) (156) (110) (6E)   ;
4618
;3960;(00100000) (40) (32) (20)    ;(01110011) (163) (115) (73)   ;(01100011) (143) (99) (63)   ;(01110010) (162) (114) (72)   ;(01100101) (145) (101) (65)   ;(01100101) (145) (101) (65)   ;(01101110) (156) (110) (6E)   ;(00001010) (12) (10) (0A)   ;
4619
;3968;(00000000) (0) (0) (00)    ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(01010011) (123) (83) (53)   ;(01100101) (145) (101) (65)   ;
4620
;3976;(01110100) (164) (116) (74)    ;(00100000) (40) (32) (20)   ;(01110100) (164) (116) (74)   ;(01101000) (150) (104) (68)   ;(01100101) (145) (101) (65)   ;(00100000) (40) (32) (20)   ;(01010011) (123) (83) (53)   ;(01110111) (167) (119) (77)   ;
4621
;3984;(01101001) (151) (105) (69)    ;(01110100) (164) (116) (74)   ;(01100011) (143) (99) (63)   ;(01101000) (150) (104) (68)   ;(00100000) (40) (32) (20)   ;(01100001) (141) (97) (61)   ;(01101110) (156) (110) (6E)   ;(01100100) (144) (100) (64)   ;
4622
;3992;(00100000) (40) (32) (20)    ;(01110000) (160) (112) (70)   ;(01110010) (162) (114) (72)   ;(01100101) (145) (101) (65)   ;(01110011) (163) (115) (73)   ;(01110011) (163) (115) (73)   ;(00100000) (40) (32) (20)   ;(01100010) (142) (98) (62)   ;
4623
;4000;(01110101) (165) (117) (75)    ;(01110100) (164) (116) (74)   ;(01110100) (164) (116) (74)   ;(01101111) (157) (111) (6F)   ;(01101110) (156) (110) (6E)   ;(00100000) (40) (32) (20)   ;(00110000) (60) (48) (30)   ;(00100000) (40) (32) (20)   ;
4624
;4008;(01110100) (164) (116) (74)    ;(01101111) (157) (111) (6F)   ;(00100000) (40) (32) (20)   ;(01110011) (163) (115) (73)   ;(01110100) (164) (116) (74)   ;(01100001) (141) (97) (61)   ;(01110010) (162) (114) (72)   ;(01110100) (164) (116) (74)   ;
4625
;4016;(00100000) (40) (32) (20)    ;(01110100) (164) (116) (74)   ;(01101000) (150) (104) (68)   ;(01100101) (145) (101) (65)   ;(00100000) (40) (32) (20)   ;(01110100) (164) (116) (74)   ;(01100101) (145) (101) (65)   ;(01110011) (163) (115) (73)   ;
4626
;4024;(01110100) (164) (116) (74)    ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;
4627
;4032;(01000001) (101) (65) (41)    ;(01100110) (146) (102) (66)   ;(01110100) (164) (116) (74)   ;(01100101) (145) (101) (65)   ;(01110010) (162) (114) (72)   ;(00100000) (40) (32) (20)   ;(01110100) (164) (116) (74)   ;(01100101) (145) (101) (65)   ;
4628
;4040;(01110011) (163) (115) (73)    ;(01110100) (164) (116) (74)   ;(00100000) (40) (32) (20)   ;(01100101) (145) (101) (65)   ;(01101110) (156) (110) (6E)   ;(01100100) (144) (100) (64)   ;(01110011) (163) (115) (73)   ;(00101100) (54) (44) (2C)   ;
4629
;4048;(00100000) (40) (32) (20)    ;(01110000) (160) (112) (70)   ;(01110010) (162) (114) (72)   ;(01100101) (145) (101) (65)   ;(01110011) (163) (115) (73)   ;(01110011) (163) (115) (73)   ;(00100000) (40) (32) (20)   ;(01100010) (142) (98) (62)   ;
4630
;4056;(01110101) (165) (117) (75)    ;(01110100) (164) (116) (74)   ;(01110100) (164) (116) (74)   ;(01101111) (157) (111) (6F)   ;(01101110) (156) (110) (6E)   ;(00100000) (40) (32) (20)   ;(00110001) (61) (49) (31)   ;(00100000) (40) (32) (20)   ;
4631
;4064;(01110100) (164) (116) (74)    ;(01101111) (157) (111) (6F)   ;(00100000) (40) (32) (20)   ;(01110010) (162) (114) (72)   ;(01100101) (145) (101) (65)   ;(01110100) (164) (116) (74)   ;(01110101) (165) (117) (75)   ;(01110010) (162) (114) (72)   ;
4632
;4072;(01101110) (156) (110) (6E)    ;(00100000) (40) (32) (20)   ;(01110100) (164) (116) (74)   ;(01101111) (157) (111) (6F)   ;(00100000) (40) (32) (20)   ;(01101101) (155) (109) (6D)   ;(01100001) (141) (97) (61)   ;(01101001) (151) (105) (69)   ;
4633
;4080;(01101110) (156) (110) (6E)    ;(00100000) (40) (32) (20)   ;(01110011) (163) (115) (73)   ;(01100011) (143) (99) (63)   ;(01110010) (162) (114) (72)   ;(01100101) (145) (101) (65)   ;(01100101) (145) (101) (65)   ;(01101110) (156) (110) (6E)   ;
4634
;4088;(00001010) (12) (10) (0A)    ;(00000000) (0) (0) (00)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(01001001) (111) (73) (49)   ;
4635
;4096;(01100110) (146) (102) (66)    ;(00100000) (40) (32) (20)   ;(01100111) (147) (103) (67)   ;(01110010) (162) (114) (72)   ;(01100101) (145) (101) (65)   ;(01100101) (145) (101) (65)   ;(01101110) (156) (110) (6E)   ;(00100000) (40) (32) (20)   ;
4636
;4104;(01101100) (154) (108) (6C)    ;(01100101) (145) (101) (65)   ;(01100100) (144) (100) (64)   ;(01110011) (163) (115) (73)   ;(00100000) (40) (32) (20)   ;(01100001) (141) (97) (61)   ;(01110010) (162) (114) (72)   ;(01100101) (145) (101) (65)   ;
4637
;4112;(00100000) (40) (32) (20)    ;(01110011) (163) (115) (73)   ;(01110100) (164) (116) (74)   ;(01100101) (145) (101) (65)   ;(01100001) (141) (97) (61)   ;(01100100) (144) (100) (64)   ;(01111001) (171) (121) (79)   ;(00101100) (54) (44) (2C)   ;
4638
;4120;(00100000) (40) (32) (20)    ;(01110100) (164) (116) (74)   ;(01100101) (145) (101) (65)   ;(01110011) (163) (115) (73)   ;(01110100) (164) (116) (74)   ;(01110011) (163) (115) (73)   ;(00100000) (40) (32) (20)   ;(01110000) (160) (112) (70)   ;
4639
;4128;(01100001) (141) (97) (61)    ;(01110011) (163) (115) (73)   ;(01110011) (163) (115) (73)   ;(01100101) (145) (101) (65)   ;(01100100) (144) (100) (64)   ;(00100000) (40) (32) (20)   ;(01110011) (163) (115) (73)   ;(01110101) (165) (117) (75)   ;
4640
;4136;(01100011) (143) (99) (63)    ;(01100011) (143) (99) (63)   ;(01100101) (145) (101) (65)   ;(01110011) (163) (115) (73)   ;(01110011) (163) (115) (73)   ;(01100110) (146) (102) (66)   ;(01110101) (165) (117) (75)   ;(01101100) (154) (108) (6C)   ;
4641
;4144;(01111001) (171) (121) (79)    ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;
4642
;4152;(01001001) (111) (73) (49)    ;(01100110) (146) (102) (66)   ;(00100000) (40) (32) (20)   ;(01100111) (147) (103) (67)   ;(01110010) (162) (114) (72)   ;(01100101) (145) (101) (65)   ;(01100101) (145) (101) (65)   ;(01101110) (156) (110) (6E)   ;
4643
;4160;(00100000) (40) (32) (20)    ;(01101100) (154) (108) (6C)   ;(01100101) (145) (101) (65)   ;(01100100) (144) (100) (64)   ;(01110011) (163) (115) (73)   ;(00100000) (40) (32) (20)   ;(01100001) (141) (97) (61)   ;(01110010) (162) (114) (72)   ;
4644
;4168;(01100101) (145) (101) (65)    ;(00100000) (40) (32) (20)   ;(01100110) (146) (102) (66)   ;(01101100) (154) (108) (6C)   ;(01100001) (141) (97) (61)   ;(01110011) (163) (115) (73)   ;(01101000) (150) (104) (68)   ;(01101001) (151) (105) (69)   ;
4645
;4176;(01101110) (156) (110) (6E)    ;(01100111) (147) (103) (67)   ;(00101100) (54) (44) (2C)   ;(00100000) (40) (32) (20)   ;(01110100) (164) (116) (74)   ;(01100101) (145) (101) (65)   ;(01110011) (163) (115) (73)   ;(01110100) (164) (116) (74)   ;
4646
;4184;(00100000) (40) (32) (20)    ;(01100110) (146) (102) (66)   ;(01100001) (141) (97) (61)   ;(01101001) (151) (105) (69)   ;(01101100) (154) (108) (6C)   ;(01100101) (145) (101) (65)   ;(01100100) (144) (100) (64)   ;(00101110) (56) (46) (2E)   ;
4647
;4192;(00001010) (12) (10) (0A)    ;(00000000) (0) (0) (00)   ;(01010100) (124) (84) (54)   ;(01100101) (145) (101) (65)   ;(01110011) (163) (115) (73)   ;(01110100) (164) (116) (74)   ;(01101001) (151) (105) (69)   ;(01101110) (156) (110) (6E)   ;
4648
;4200;(01100111) (147) (103) (67)    ;(00111010) (72) (58) (3A)   ;(00100000) (40) (32) (20)   ;(01010010) (122) (82) (52)   ;(01000001) (101) (65) (41)   ;(01001101) (115) (77) (4D)   ;(00000000) (0) (0) (00)   ;(01010100) (124) (84) (54)   ;
4649
;4208;(01100101) (145) (101) (65)    ;(01110011) (163) (115) (73)   ;(01110100) (164) (116) (74)   ;(01101001) (151) (105) (69)   ;(01101110) (156) (110) (6E)   ;(01100111) (147) (103) (67)   ;(00111010) (72) (58) (3A)   ;(00100000) (40) (32) (20)   ;
4650
;4216;(01010110) (126) (86) (56)    ;(01010010) (122) (82) (52)   ;(01000001) (101) (65) (41)   ;(01001101) (115) (77) (4D)   ;(00000000) (0) (0) (00)   ;(01010100) (124) (84) (54)   ;(01100101) (145) (101) (65)   ;(01110011) (163) (115) (73)   ;
4651
;4224;(01110100) (164) (116) (74)    ;(01101001) (151) (105) (69)   ;(01101110) (156) (110) (6E)   ;(01100111) (147) (103) (67)   ;(00111010) (72) (58) (3A)   ;(00100000) (40) (32) (20)   ;(01000011) (103) (67) (43)   ;(01101000) (150) (104) (68)   ;
4652
;4232;(01100001) (141) (97) (61)    ;(01110010) (162) (114) (72)   ;(01010010) (122) (82) (52)   ;(01000001) (101) (65) (41)   ;(01001101) (115) (77) (4D)   ;(00000000) (0) (0) (00)   ;(01010100) (124) (84) (54)   ;(01100101) (145) (101) (65)   ;
4653
;4240;(01110011) (163) (115) (73)    ;(01110100) (164) (116) (74)   ;(01101001) (151) (105) (69)   ;(01101110) (156) (110) (6E)   ;(01100111) (147) (103) (67)   ;(00111010) (72) (58) (3A)   ;(00100000) (40) (32) (20)   ;(01000011) (103) (67) (43)   ;
4654
;4248;(01101000) (150) (104) (68)    ;(01100001) (141) (97) (61)   ;(01110010) (162) (114) (72)   ;(01010010) (122) (82) (52)   ;(01000001) (101) (65) (41)   ;(01001101) (115) (77) (4D)   ;(00100000) (40) (32) (20)   ;(01110010) (162) (114) (72)   ;
4655
;4256;(01100101) (145) (101) (65)    ;(01100100) (144) (100) (64)   ;(01100101) (145) (101) (65)   ;(01100110) (146) (102) (66)   ;(01101001) (151) (105) (69)   ;(01101110) (156) (110) (6E)   ;(01101001) (151) (105) (69)   ;(01110100) (164) (116) (74)   ;
4656
;4264;(01101001) (151) (105) (69)    ;(01101111) (157) (111) (6F)   ;(01101110) (156) (110) (6E)   ;(00000000) (0) (0) (00)   ;(01010100) (124) (84) (54)   ;(01100101) (145) (101) (65)   ;(01110011) (163) (115) (73)   ;(01110100) (164) (116) (74)   ;
4657
;4272;(01101001) (151) (105) (69)    ;(01101110) (156) (110) (6E)   ;(01100111) (147) (103) (67)   ;(00111010) (72) (58) (3A)   ;(00100000) (40) (32) (20)   ;(01001011) (113) (75) (4B)   ;(01100101) (145) (101) (65)   ;(01111001) (171) (121) (79)   ;
4658
;4280;(01100010) (142) (98) (62)    ;(01101111) (157) (111) (6F)   ;(01100001) (141) (97) (61)   ;(01110010) (162) (114) (72)   ;(01100100) (144) (100) (64)   ;(00100000) (40) (32) (20)   ;(01101001) (151) (105) (69)   ;(01101110) (156) (110) (6E)   ;
4659
;4288;(01110000) (160) (112) (70)    ;(01110101) (165) (117) (75)   ;(01110100) (164) (116) (74)   ;(00000000) (0) (0) (00)   ;(00001010) (12) (10) (0A)   ;(00001010) (12) (10) (0A)   ;(00001010) (12) (10) (0A)   ;(00100000) (40) (32) (20)   ;
4660
;4296;(00100000) (40) (32) (20)    ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;
4661
;4304;(00100000) (40) (32) (20)    ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(01010100) (124) (84) (54)   ;(01100101) (145) (101) (65)   ;(01110011) (163) (115) (73)   ;
4662
;4312;(01110100) (164) (116) (74)    ;(01101001) (151) (105) (69)   ;(01101110) (156) (110) (6E)   ;(01100111) (147) (103) (67)   ;(00100000) (40) (32) (20)   ;(01110100) (164) (116) (74)   ;(01101000) (150) (104) (68)   ;(01100101) (145) (101) (65)   ;
4663
;4320;(00100000) (40) (32) (20)    ;(01110000) (160) (112) (70)   ;(01110010) (162) (114) (72)   ;(01101001) (151) (105) (69)   ;(01101110) (156) (110) (6E)   ;(01110100) (164) (116) (74)   ;(01100110) (146) (102) (66)   ;(00100000) (40) (32) (20)   ;
4664
;4328;(01000011) (103) (67) (43)    ;(00100000) (40) (32) (20)   ;(01100110) (146) (102) (66)   ;(01110101) (165) (117) (75)   ;(01101110) (156) (110) (6E)   ;(01100011) (143) (99) (63)   ;(01110100) (164) (116) (74)   ;(01101001) (151) (105) (69)   ;
4665
;4336;(01101111) (157) (111) (6F)    ;(01101110) (156) (110) (6E)   ;(00100000) (40) (32) (20)   ;(01100001) (141) (97) (61)   ;(01101110) (156) (110) (6E)   ;(01100100) (144) (100) (64)   ;(00100000) (40) (32) (20)   ;(01101110) (156) (110) (6E)   ;
4666
;4344;(01100101) (145) (101) (65)    ;(01110111) (167) (119) (77)   ;(01101100) (154) (108) (6C)   ;(01101001) (151) (105) (69)   ;(01101110) (156) (110) (6E)   ;(01100101) (145) (101) (65)   ;(01110011) (163) (115) (73)   ;(00100000) (40) (32) (20)   ;
4667
;4352;(01011100) (134) (92) (5C)    ;(01101110) (156) (110) (6E)   ;(00000000) (0) (0) (00)   ;(00110001) (61) (49) (31)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;
4668
;4360;(00101010) (52) (42) (2A)    ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;
4669
;4368;(00101010) (52) (42) (2A)    ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;
4670
;4376;(00100000) (40) (32) (20)    ;(01011010) (132) (90) (5A)   ;(00111000) (70) (56) (38)   ;(00110000) (60) (48) (30)   ;(01010011) (123) (83) (53)   ;(01101111) (157) (111) (6F)   ;(01000011) (103) (67) (43)   ;(00100000) (40) (32) (20)   ;
4671
;4384;(00101010) (52) (42) (2A)    ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;
4672
;4392;(00101010) (52) (42) (2A)    ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;
4673
;4400;(00101010) (52) (42) (2A)    ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00000000) (0) (0) (00)   ;(00110010) (62) (50) (32)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;
4674
;4408;(00101010) (52) (42) (2A)    ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;
4675
;4416;(00101010) (52) (42) (2A)    ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;
4676
;4424;(00101010) (52) (42) (2A)    ;(00101010) (52) (42) (2A)   ;(00100000) (40) (32) (20)   ;(01011010) (132) (90) (5A)   ;(00111000) (70) (56) (38)   ;(00110000) (60) (48) (30)   ;(01010011) (123) (83) (53)   ;(01101111) (157) (111) (6F)   ;
4677
;4432;(01000011) (103) (67) (43)    ;(00100000) (40) (32) (20)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;
4678
;4440;(00101010) (52) (42) (2A)    ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;
4679
;4448;(00101010) (52) (42) (2A)    ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00000000) (0) (0) (00)   ;(00110011) (63) (51) (33)   ;
4680
;4456;(00101010) (52) (42) (2A)    ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;
4681
;4464;(00101010) (52) (42) (2A)    ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;
4682
;4472;(00101010) (52) (42) (2A)    ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00100000) (40) (32) (20)   ;(01011010) (132) (90) (5A)   ;(00111000) (70) (56) (38)   ;(00110000) (60) (48) (30)   ;
4683
;4480;(01010011) (123) (83) (53)    ;(01101111) (157) (111) (6F)   ;(01000011) (103) (67) (43)   ;(00100000) (40) (32) (20)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;
4684
;4488;(00101010) (52) (42) (2A)    ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;
4685
;4496;(00101010) (52) (42) (2A)    ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;(00101010) (52) (42) (2A)   ;
4686
;4504;(00000000) (0) (0) (00)    ;(00111100) (74) (60) (3C)   ;(00111100) (74) (60) (3C)   ;(00100000) (40) (32) (20)   ;(01100101) (145) (101) (65)   ;(01101110) (156) (110) (6E)   ;(01100100) (144) (100) (64)   ;(00111110) (76) (62) (3E)   ;
4687
;4512;(00111110) (76) (62) (3E)    ;(00000000) (0) (0) (00)   ;(00001010) (12) (10) (0A)   ;(00111110) (76) (62) (3E)   ;(00111110) (76) (62) (3E)   ;(00111110) (76) (62) (3E)   ;(01110000) (160) (112) (70)   ;(01110010) (162) (114) (72)   ;
4688
;4520;(01101001) (151) (105) (69)    ;(01101110) (156) (110) (6E)   ;(01110100) (164) (116) (74)   ;(01101001) (151) (105) (69)   ;(01101110) (156) (110) (6E)   ;(01100111) (147) (103) (67)   ;(00100000) (40) (32) (20)   ;(01100001) (141) (97) (61)   ;
4689
;4528;(01100110) (146) (102) (66)    ;(01110100) (164) (116) (74)   ;(01100101) (145) (101) (65)   ;(01110010) (162) (114) (72)   ;(00001010) (12) (10) (0A)   ;(00001010) (12) (10) (0A)   ;(00001010) (12) (10) (0A)   ;(00001010) (12) (10) (0A)   ;
4690
;4536;(00111110) (76) (62) (3E)    ;(00111110) (76) (62) (3E)   ;(00111110) (76) (62) (3E)   ;(01100110) (146) (102) (66)   ;(01101111) (157) (111) (6F)   ;(01110101) (165) (117) (75)   ;(01110010) (162) (114) (72)   ;(00100000) (40) (32) (20)   ;
4691
;4544;(01101110) (156) (110) (6E)    ;(01100101) (145) (101) (65)   ;(01110111) (167) (119) (77)   ;(00100000) (40) (32) (20)   ;(01101100) (154) (108) (6C)   ;(01101001) (151) (105) (69)   ;(01101110) (156) (110) (6E)   ;(01100101) (145) (101) (65)   ;
4692
;4552;(01110011) (163) (115) (73)    ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00110001) (61) (49) (31)   ;
4693
;4560;(00001010) (12) (10) (0A)    ;(00000000) (0) (0) (00)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00110010) (62) (50) (32)   ;(00001010) (12) (10) (0A)   ;
4694
;4568;(00000000) (0) (0) (00)    ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00110011) (63) (51) (33)   ;(00001010) (12) (10) (0A)   ;(00001010) (12) (10) (0A)   ;
4695
;4576;(00000000) (0) (0) (00)    ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;(00110100) (64) (52) (34)   ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;
4696
;4584;(01010100) (124) (84) (54)    ;(01100101) (145) (101) (65)   ;(01110011) (163) (115) (73)   ;(01110100) (164) (116) (74)   ;(01101001) (151) (105) (69)   ;(01101110) (156) (110) (6E)   ;(01100111) (147) (103) (67)   ;(00100000) (40) (32) (20)   ;
4697
;4592;(01100011) (143) (99) (63)    ;(01101000) (150) (104) (68)   ;(01100001) (141) (97) (61)   ;(01110010) (162) (114) (72)   ;(00100000) (40) (32) (20)   ;(01110100) (164) (116) (74)   ;(01111001) (171) (121) (79)   ;(01110000) (160) (112) (70)   ;
4698
;4600;(01100101) (145) (101) (65)    ;(00100000) (40) (32) (20)   ;(01110011) (163) (115) (73)   ;(01110100) (164) (116) (74)   ;(01101111) (157) (111) (6F)   ;(01110010) (162) (114) (72)   ;(01100001) (141) (97) (61)   ;(01100111) (147) (103) (67)   ;
4699
;4608;(01100101) (145) (101) (65)    ;(00100000) (40) (32) (20)   ;(01100001) (141) (97) (61)   ;(01101110) (156) (110) (6E)   ;(01100100) (144) (100) (64)   ;(00100000) (40) (32) (20)   ;(01110010) (162) (114) (72)   ;(01100101) (145) (101) (65)   ;
4700
;4616;(01110100) (164) (116) (74)    ;(01110010) (162) (114) (72)   ;(01101001) (151) (105) (69)   ;(01100101) (145) (101) (65)   ;(01110110) (166) (118) (76)   ;(01100101) (145) (101) (65)   ;(00100000) (40) (32) (20)   ;(01110101) (165) (117) (75)   ;
4701
;4624;(01110011) (163) (115) (73)    ;(01101001) (151) (105) (69)   ;(01101110) (156) (110) (6E)   ;(01100111) (147) (103) (67)   ;(00100000) (40) (32) (20)   ;(01110111) (167) (119) (77)   ;(01110010) (162) (114) (72)   ;(01101001) (151) (105) (69)   ;
4702
;4632;(01110100) (164) (116) (74)    ;(01100101) (145) (101) (65)   ;(01001101) (115) (77) (4D)   ;(01100101) (145) (101) (65)   ;(01101101) (155) (109) (6D)   ;(01101111) (157) (111) (6F)   ;(01110010) (162) (114) (72)   ;(01111001) (171) (121) (79)   ;
4703
;4640;(00101000) (50) (40) (28)    ;(00101001) (51) (41) (29)   ;(00100000) (40) (32) (20)   ;(01100110) (146) (102) (66)   ;(01110101) (165) (117) (75)   ;(01101110) (156) (110) (6E)   ;(01100011) (143) (99) (63)   ;(01110100) (164) (116) (74)   ;
4704
;4648;(01101001) (151) (105) (69)    ;(01101111) (157) (111) (6F)   ;(01101110) (156) (110) (6E)   ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;(01000011) (103) (67) (43)   ;(01101111) (157) (111) (6F)   ;(01101110) (156) (110) (6E)   ;
4705
;4656;(01100110) (146) (102) (66)    ;(01101001) (151) (105) (69)   ;(01110010) (162) (114) (72)   ;(01101101) (155) (109) (6D)   ;(01110011) (163) (115) (73)   ;(00100000) (40) (32) (20)   ;(01110100) (164) (116) (74)   ;(01101000) (150) (104) (68)   ;
4706
;4664;(01100001) (141) (97) (61)    ;(01110100) (164) (116) (74)   ;(00100000) (40) (32) (20)   ;(01100001) (141) (97) (61)   ;(00100000) (40) (32) (20)   ;(01110011) (163) (115) (73)   ;(01101001) (151) (105) (69)   ;(01101110) (156) (110) (6E)   ;
4707
;4672;(01100111) (147) (103) (67)    ;(01101100) (154) (108) (6C)   ;(01100101) (145) (101) (65)   ;(00100000) (40) (32) (20)   ;(01100010) (142) (98) (62)   ;(01111001) (171) (121) (79)   ;(01110100) (164) (116) (74)   ;(01100101) (145) (101) (65)   ;
4708
;4680;(00100000) (40) (32) (20)    ;(01101001) (151) (105) (69)   ;(01110011) (163) (115) (73)   ;(00100000) (40) (32) (20)   ;(01100010) (142) (98) (62)   ;(01100101) (145) (101) (65)   ;(01101001) (151) (105) (69)   ;(01101110) (156) (110) (6E)   ;
4709
;4688;(01100111) (147) (103) (67)    ;(00100000) (40) (32) (20)   ;(01110111) (167) (119) (77)   ;(01110010) (162) (114) (72)   ;(01101001) (151) (105) (69)   ;(01110100) (164) (116) (74)   ;(01110100) (164) (116) (74)   ;(01100101) (145) (101) (65)   ;
4710
;4696;(01101110) (156) (110) (6E)    ;(00000000) (0) (0) (00)   ;(01110111) (167) (119) (77)   ;(01110010) (162) (114) (72)   ;(01101001) (151) (105) (69)   ;(01110100) (164) (116) (74)   ;(01110100) (164) (116) (74)   ;(01100101) (145) (101) (65)   ;
4711
;4704;(01101110) (156) (110) (6E)    ;(00100000) (40) (32) (20)   ;(01110101) (165) (117) (75)   ;(01110000) (160) (112) (70)   ;(00100000) (40) (32) (20)   ;(01100100) (144) (100) (64)   ;(01101111) (157) (111) (6F)   ;(01110111) (167) (119) (77)   ;
4712
;4712;(01101110) (156) (110) (6E)    ;(00000000) (0) (0) (00)   ;(01110111) (167) (119) (77)   ;(01110010) (162) (114) (72)   ;(01101001) (151) (105) (69)   ;(01110100) (164) (116) (74)   ;(01110100) (164) (116) (74)   ;(01100101) (145) (101) (65)   ;
4713
;4720;(01101110) (156) (110) (6E)    ;(00100000) (40) (32) (20)   ;(01100100) (144) (100) (64)   ;(01101111) (157) (111) (6F)   ;(01110111) (167) (119) (77)   ;(01101110) (156) (110) (6E)   ;(00100000) (40) (32) (20)   ;(01110101) (165) (117) (75)   ;
4714
;4728;(01110000) (160) (112) (70)    ;(00000000) (0) (0) (00)   ;(00100101) (45) (37) (25)   ;(01100100) (144) (100) (64)   ;(00000000) (0) (0) (00)   ;(00001010) (12) (10) (0A)   ;(00100000) (40) (32) (20)   ;(00100000) (40) (32) (20)   ;
4715
;4736;(00100000) (40) (32) (20)    ;(00100000) (40) (32) (20)   ;(01010100) (124) (84) (54)   ;(01100101) (145) (101) (65)   ;(01110011) (163) (115) (73)   ;(01110100) (164) (116) (74)   ;(01101001) (151) (105) (69)   ;(01101110) (156) (110) (6E)   ;
4716
;4744;(01100111) (147) (103) (67)    ;(00100000) (40) (32) (20)   ;(01110100) (164) (116) (74)   ;(01101000) (150) (104) (68)   ;(01100101) (145) (101) (65)   ;(00100000) (40) (32) (20)   ;(01110010) (162) (114) (72)   ;(01100001) (141) (97) (61)   ;
4717
;4752;(01101110) (156) (110) (6E)    ;(01100100) (144) (100) (64)   ;(01101111) (157) (111) (6F)   ;(01101101) (155) (109) (6D)   ;(00100000) (40) (32) (20)   ;(01101001) (151) (105) (69)   ;(01101110) (156) (110) (6E)   ;(01110100) (164) (116) (74)   ;
4718
;4760;(01100101) (145) (101) (65)    ;(01100111) (147) (103) (67)   ;(01100101) (145) (101) (65)   ;(01110010) (162) (114) (72)   ;(00100000) (40) (32) (20)   ;(01101110) (156) (110) (6E)   ;(01110101) (165) (117) (75)   ;(01101101) (155) (109) (6D)   ;
4719
;4768;(01100010) (142) (98) (62)    ;(01100101) (145) (101) (65)   ;(01110010) (162) (114) (72)   ;(00100000) (40) (32) (20)   ;(01100111) (147) (103) (67)   ;(01100101) (145) (101) (65)   ;(01101110) (156) (110) (6E)   ;(01100101) (145) (101) (65)   ;
4720
;4776;(01110010) (162) (114) (72)    ;(01100001) (141) (97) (61)   ;(01110100) (164) (116) (74)   ;(01101111) (157) (111) (6F)   ;(01110010) (162) (114) (72)   ;(00100000) (40) (32) (20)   ;(01101001) (151) (105) (69)   ;(01101101) (155) (109) (6D)   ;
4721
;4784;(01110000) (160) (112) (70)    ;(01101100) (154) (108) (6C)   ;(01100101) (145) (101) (65)   ;(01101101) (155) (109) (6D)   ;(01100101) (145) (101) (65)   ;(01101110) (156) (110) (6E)   ;(01110100) (164) (116) (74)   ;(01100101) (145) (101) (65)   ;
4722
;4792;(01100100) (144) (100) (64)    ;(00100000) (40) (32) (20)   ;(01101001) (151) (105) (69)   ;(01101110) (156) (110) (6E)   ;(01110011) (163) (115) (73)   ;(01101001) (151) (105) (69)   ;(01100100) (144) (100) (64)   ;(01100101) (145) (101) (65)   ;
4723
;4800;(00100000) (40) (32) (20)    ;(01110100) (164) (116) (74)   ;(01101000) (150) (104) (68)   ;(01110010) (162) (114) (72)   ;(00100000) (40) (32) (20)   ;(01000110) (106) (70) (46)   ;(01010000) (120) (80) (50)   ;(01000111) (107) (71) (47)   ;
4724
;4808;(01000001) (101) (65) (41)    ;(00100000) (40) (32) (20)   ;(00101000) (50) (40) (28)   ;(00110000) (60) (48) (30)   ;(01111000) (170) (120) (78)   ;(01000110) (106) (70) (46)   ;(01000110) (106) (70) (46)   ;(01000011) (103) (67) (43)   ;
4725
;4816;(01000001) (101) (65) (41)    ;(00101001) (51) (41) (29)   ;(00000000) (0) (0) (00)   ;(00100101) (45) (37) (25)   ;(01101001) (151) (105) (69)   ;(00100000) (40) (32) (20)   ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;
4726
;4824;(11100101) (345) (229) (E5)    ;(00111010) (72) (58) (3A)   ;(11001101) (315) (205) (CD)   ;(01010111) (127) (87) (57)   ;(10110111) (267) (183) (B7)   ;(00101000) (50) (40) (28)   ;(00001010) (12) (10) (0A)   ;(00111101) (75) (61) (3D)   ;
4727
;4832;(10110111) (267) (183) (B7)    ;(00101000) (50) (40) (28)   ;(00000011) (3) (3) (03)   ;(11011101) (335) (221) (DD)   ;(11100001) (341) (225) (E1)   ;(11001001) (311) (201) (C9)   ;(11011101) (335) (221) (DD)   ;(11100001) (341) (225) (E1)   ;
4728
;4840;(11001001) (311) (201) (C9)    ;(11011101) (335) (221) (DD)   ;(00100001) (41) (33) (21)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;(00111001) (71) (57) (39)   ;(11011101) (335) (221) (DD)   ;
4729
;4848;(01111110) (176) (126) (7E)    ;(00000100) (4) (4) (04)   ;(11111110) (376) (254) (FE)   ;(00001101) (15) (13) (0D)   ;(00101000) (50) (40) (28)   ;(00110111) (67) (55) (37)   ;(11111110) (376) (254) (FE)   ;(00001010) (12) (10) (0A)   ;
4730
;4856;(00101010) (52) (42) (2A)    ;(11010000) (320) (208) (D0)   ;(01010111) (127) (87) (57)   ;(00101000) (50) (40) (28)   ;(00001101) (15) (13) (0D)   ;(01110111) (167) (119) (77)   ;(00100011) (43) (35) (23)   ;(00111010) (72) (58) (3A)   ;
4731
;4864;(11001100) (314) (204) (CC)    ;(01010111) (127) (87) (57)   ;(01000111) (107) (71) (47)   ;(00111010) (72) (58) (3A)   ;(11001111) (317) (207) (CF)   ;(01010111) (127) (87) (57)   ;(00111100) (74) (60) (3C)   ;(10111000) (270) (184) (B8)   ;
4732
;4872;(00111000) (70) (56) (38)    ;(00011101) (35) (29) (1D)   ;(00111010) (72) (58) (3A)   ;(11001011) (313) (203) (CB)   ;(01010111) (127) (87) (57)   ;(01000111) (107) (71) (47)   ;(00111010) (72) (58) (3A)   ;(11001110) (316) (206) (CE)   ;
4733
;4880;(01010111) (127) (87) (57)    ;(00111100) (74) (60) (3C)   ;(10111000) (270) (184) (B8)   ;(00111000) (70) (56) (38)   ;(00000001) (1) (1) (01)   ;(00111101) (75) (61) (3D)   ;(00110010) (62) (50) (32)   ;(11001110) (316) (206) (CE)   ;
4734
;4888;(01010111) (127) (87) (57)    ;(00111010) (72) (58) (3A)   ;(11001111) (317) (207) (CF)   ;(01010111) (127) (87) (57)   ;(01001111) (117) (79) (4F)   ;(10101111) (257) (175) (AF)   ;(01000111) (107) (71) (47)   ;(11101101) (355) (237) (ED)   ;
4735
;4896;(01000010) (102) (66) (42)    ;(00111010) (72) (58) (3A)   ;(11001100) (314) (204) (CC)   ;(01010111) (127) (87) (57)   ;(01001111) (117) (79) (4F)   ;(00001001) (11) (9) (09)   ;(10101111) (257) (175) (AF)   ;(00100010) (42) (34) (22)   ;
4736
;4904;(11010000) (320) (208) (D0)    ;(01010111) (127) (87) (57)   ;(00110010) (62) (50) (32)   ;(11001111) (317) (207) (CF)   ;(01010111) (127) (87) (57)   ;(11011101) (335) (221) (DD)   ;(11100001) (341) (225) (E1)   ;(11001001) (311) (201) (C9)   ;
4737
;4912;(00111010) (72) (58) (3A)    ;(11001100) (314) (204) (CC)   ;(01010111) (127) (87) (57)   ;(01101111) (157) (111) (6F)   ;(00100110) (46) (38) (26)   ;(00000000) (0) (0) (00)   ;(00111010) (72) (58) (3A)   ;(11001110) (316) (206) (CE)   ;
4738
;4920;(01010111) (127) (87) (57)    ;(01001111) (117) (79) (4F)   ;(00000110) (6) (6) (06)   ;(00000000) (0) (0) (00)   ;(11001101) (315) (205) (CD)   ;(01001011) (113) (75) (4B)   ;(00010011) (23) (19) (13)   ;(11100101) (345) (229) (E5)   ;
4739
;4928;(00101010) (52) (42) (2A)    ;(11010100) (324) (212) (D4)   ;(01010111) (127) (87) (57)   ;(01000100) (104) (68) (44)   ;(01001101) (115) (77) (4D)   ;(11100001) (341) (225) (E1)   ;(00001001) (11) (9) (09)   ;(00100010) (42) (34) (22)   ;
4740
;4936;(11010000) (320) (208) (D0)    ;(01010111) (127) (87) (57)   ;(11001001) (311) (201) (C9)   ;(01111000) (170) (120) (78)   ;(10110001) (261) (177) (B1)   ;(00100000) (40) (32) (20)   ;(00000100) (4) (4) (04)   ;(00100001) (41) (33) (21)   ;
4741
;4944;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(11001001) (311) (201) (C9)   ;(01010100) (124) (84) (54)   ;(01011101) (135) (93) (5D)   ;(00001011) (13) (11) (0B)   ;(01111000) (170) (120) (78)   ;(10110001) (261) (177) (B1)   ;
4742
;4952;(11001000) (310) (200) (C8)    ;(00011001) (31) (25) (19)   ;(00011000) (30) (24) (18)   ;(11111001) (371) (249) (F9)   ;(00011000) (30) (24) (18)   ;(11111110) (376) (254) (FE)   ;(11100101) (345) (229) (E5)   ;(11000101) (305) (197) (C5)   ;
4743
;4960;(11110101) (365) (245) (F5)    ;(00101010) (52) (42) (2A)   ;(11010100) (324) (212) (D4)   ;(01010111) (127) (87) (57)   ;(00000001) (1) (1) (01)   ;(10111111) (277) (191) (BF)   ;(00010010) (22) (18) (12)   ;(00111110) (76) (62) (3E)   ;
4744
;4968;(00100000) (40) (32) (20)    ;(01110111) (167) (119) (77)   ;(00100011) (43) (35) (23)   ;(00001011) (13) (11) (0B)   ;(01111000) (170) (120) (78)   ;(10110001) (261) (177) (B1)   ;(00100000) (40) (32) (20)   ;(11110111) (367) (247) (F7)   ;
4745
;4976;(11110001) (361) (241) (F1)    ;(11000001) (301) (193) (C1)   ;(11100001) (341) (225) (E1)   ;(11001001) (311) (201) (C9)   ;(11000001) (301) (193) (C1)   ;(11100001) (341) (225) (E1)   ;(11100101) (345) (229) (E5)   ;(11000101) (305) (197) (C5)   ;
4746
;4984;(11111101) (375) (253) (FD)    ;(00100001) (41) (33) (21)   ;(00000100) (4) (4) (04)   ;(00000000) (0) (0) (00)   ;(11111101) (375) (253) (FD)   ;(00111001) (71) (57) (39)   ;(11111101) (375) (253) (FD)   ;(01010110) (126) (86) (56)   ;
4747
;4992;(00000000) (0) (0) (00)    ;(01110010) (162) (114) (72)   ;(11001001) (311) (201) (C9)   ;(11000001) (301) (193) (C1)   ;(11100001) (341) (225) (E1)   ;(11100101) (345) (229) (E5)   ;(11000101) (305) (197) (C5)   ;(01001110) (116) (78) (4E)   ;
4748
;5000;(00100011) (43) (35) (23)    ;(01000110) (106) (70) (46)   ;(01101001) (151) (105) (69)   ;(11001001) (311) (201) (C9)   ;(11010001) (321) (209) (D1)   ;(11100001) (341) (225) (E1)   ;(11000001) (301) (193) (C1)   ;(11000101) (305) (197) (C5)   ;
4749
;5008;(11100101) (345) (229) (E5)    ;(11010101) (325) (213) (D5)   ;(01110001) (161) (113) (71)   ;(00100011) (43) (35) (23)   ;(01110000) (160) (112) (70)   ;(11001001) (311) (201) (C9)   ;(11000001) (301) (193) (C1)   ;(11100001) (341) (225) (E1)   ;
4750
;5016;(11100101) (345) (229) (E5)    ;(11000101) (305) (197) (C5)   ;(01001110) (116) (78) (4E)   ;(00100011) (43) (35) (23)   ;(01100110) (146) (102) (66)   ;(01101001) (151) (105) (69)   ;(11001001) (311) (201) (C9)   ;(11011101) (335) (221) (DD)   ;
4751
;5024;(11100101) (345) (229) (E5)    ;(11011101) (335) (221) (DD)   ;(00100001) (41) (33) (21)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;(00111001) (71) (57) (39)   ;(11011101) (335) (221) (DD)   ;
4752
;5032;(01000110) (106) (70) (46)    ;(00000110) (6) (6) (06)   ;(11000101) (305) (197) (C5)   ;(00110011) (63) (51) (33)   ;(00100001) (41) (33) (21)   ;(11001110) (316) (206) (CE)   ;(01010111) (127) (87) (57)   ;(11100101) (345) (229) (E5)   ;
4753
;5040;(11001101) (315) (205) (CD)    ;(01110100) (164) (116) (74)   ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(00110011) (63) (51) (33)   ;(11011101) (335) (221) (DD)   ;(01000110) (106) (70) (46)   ;(00000100) (4) (4) (04)   ;
4754
;5048;(11000101) (305) (197) (C5)    ;(00110011) (63) (51) (33)   ;(00100001) (41) (33) (21)   ;(11001111) (317) (207) (CF)   ;(01010111) (127) (87) (57)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(01110100) (164) (116) (74)   ;
4755
;5056;(00010011) (23) (19) (13)    ;(00110011) (63) (51) (33)   ;(00100001) (41) (33) (21)   ;(11010100) (324) (212) (D4)   ;(01010111) (127) (87) (57)   ;(11100011) (343) (227) (E3)   ;(11001101) (315) (205) (CD)   ;(10010110) (226) (150) (96)   ;
4756
;5064;(00010011) (23) (19) (13)    ;(11100011) (343) (227) (E3)   ;(00100001) (41) (33) (21)   ;(11001100) (314) (204) (CC)   ;(01010111) (127) (87) (57)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(10000011) (203) (131) (83)   ;
4757
;5072;(00010011) (23) (19) (13)    ;(11110001) (361) (241) (F1)   ;(11000001) (301) (193) (C1)   ;(00100110) (46) (38) (26)   ;(00000000) (0) (0) (00)   ;(11000101) (305) (197) (C5)   ;(11011101) (335) (221) (DD)   ;(01011110) (136) (94) (5E)   ;
4758
;5080;(00000110) (6) (6) (06)    ;(11011101) (335) (221) (DD)   ;(01010110) (126) (86) (56)   ;(00000111) (7) (7) (07)   ;(11010101) (325) (213) (D5)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(10110001) (261) (177) (B1)   ;
4759
;5088;(00010100) (24) (20) (14)    ;(11110001) (361) (241) (F1)   ;(11110001) (361) (241) (F1)   ;(11000001) (301) (193) (C1)   ;(00001001) (11) (9) (09)   ;(11011101) (335) (221) (DD)   ;(01001110) (116) (78) (4E)   ;(00000100) (4) (4) (04)   ;
4760
;5096;(11011101) (335) (221) (DD)    ;(01000110) (106) (70) (46)   ;(00000101) (5) (5) (05)   ;(00001001) (11) (9) (09)   ;(11100101) (345) (229) (E5)   ;(00100001) (41) (33) (21)   ;(11010000) (320) (208) (D0)   ;(01010111) (127) (87) (57)   ;
4761
;5104;(11100101) (345) (229) (E5)    ;(11001101) (315) (205) (CD)   ;(10001100) (214) (140) (8C)   ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(11110001) (361) (241) (F1)   ;(11011101) (335) (221) (DD)   ;(11100001) (341) (225) (E1)   ;
4762
;5112;(11001001) (311) (201) (C9)    ;(11011011) (333) (219) (DB)   ;(10000000) (200) (128) (80)   ;(01101111) (157) (111) (6F)   ;(11001001) (311) (201) (C9)   ;(11001101) (315) (205) (CD)   ;(11111001) (371) (249) (F9)   ;(00010011) (23) (19) (13)   ;
4763
;5120;(01111101) (175) (125) (7D)    ;(10110111) (267) (183) (B7)   ;(11000000) (300) (192) (C0)   ;(11001101) (315) (205) (CD)   ;(11111001) (371) (249) (F9)   ;(00010011) (23) (19) (13)   ;(00011000) (30) (24) (18)   ;(11111000) (370) (248) (F8)   ;
4764
;5128;(00100001) (41) (33) (21)    ;(00000010) (2) (2) (02)   ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(01111110) (176) (126) (7E)   ;(11010011) (323) (211) (D3)   ;(00000001) (1) (1) (01)   ;(11001001) (311) (201) (C9)   ;
4765
;5136;(11011011) (333) (219) (DB)    ;(00110000) (60) (48) (30)   ;(01101111) (157) (111) (6F)   ;(11001001) (311) (201) (C9)   ;(11011011) (333) (219) (DB)   ;(00100000) (40) (32) (20)   ;(01101111) (157) (111) (6F)   ;(11001001) (311) (201) (C9)   ;
4766
;5144;(00100001) (41) (33) (21)    ;(00000010) (2) (2) (02)   ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(01111110) (176) (126) (7E)   ;(11010011) (323) (211) (D3)   ;(00000010) (2) (2) (02)   ;(11001001) (311) (201) (C9)   ;
4767
;5152;(00100001) (41) (33) (21)    ;(00000010) (2) (2) (02)   ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(01111110) (176) (126) (7E)   ;(11010011) (323) (211) (D3)   ;(00000011) (3) (3) (03)   ;(11001001) (311) (201) (C9)   ;
4768
;5160;(00100001) (41) (33) (21)    ;(00000010) (2) (2) (02)   ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(01111110) (176) (126) (7E)   ;(11010011) (323) (211) (D3)   ;(00010000) (20) (16) (10)   ;(11001001) (311) (201) (C9)   ;
4769
;5168;(00100001) (41) (33) (21)    ;(00000010) (2) (2) (02)   ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(01111110) (176) (126) (7E)   ;(11010011) (323) (211) (D3)   ;(00010001) (21) (17) (11)   ;(11001001) (311) (201) (C9)   ;
4770
;5176;(00100001) (41) (33) (21)    ;(00000010) (2) (2) (02)   ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(01111110) (176) (126) (7E)   ;(11010011) (323) (211) (D3)   ;(00010010) (22) (18) (12)   ;(11001001) (311) (201) (C9)   ;
4771
;5184;(00100001) (41) (33) (21)    ;(00000010) (2) (2) (02)   ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(01111110) (176) (126) (7E)   ;(11010011) (323) (211) (D3)   ;(00010011) (23) (19) (13)   ;(11001001) (311) (201) (C9)   ;
4772
;5192;(11011011) (333) (219) (DB)    ;(00100001) (41) (33) (21)   ;(01101111) (157) (111) (6F)   ;(11001001) (311) (201) (C9)   ;(00100001) (41) (33) (21)   ;(00000010) (2) (2) (02)   ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;
4773
;5200;(01111110) (176) (126) (7E)    ;(11010011) (323) (211) (D3)   ;(00010101) (25) (21) (15)   ;(11001001) (311) (201) (C9)   ;(11011101) (335) (221) (DD)   ;(11100101) (345) (229) (E5)   ;(11011101) (335) (221) (DD)   ;(00100001) (41) (33) (21)   ;
4774
;5208;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;(00111001) (71) (57) (39)   ;(11110101) (365) (245) (F5)   ;(00100001) (41) (33) (21)   ;(11011100) (334) (220) (DC)   ;(01010111) (127) (87) (57)   ;
4775
;5216;(11100101) (345) (229) (E5)    ;(11001101) (315) (205) (CD)   ;(10010110) (226) (150) (96)   ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(11011101) (335) (221) (DD)   ;(01001110) (116) (78) (4E)   ;(00000100) (4) (4) (04)   ;
4776
;5224;(00000110) (6) (6) (06)    ;(00000000) (0) (0) (00)   ;(00001001) (11) (9) (09)   ;(00110011) (63) (51) (33)   ;(00110011) (63) (51) (33)   ;(11100101) (345) (229) (E5)   ;(00011110) (36) (30) (1E)   ;(00000000) (0) (0) (00)   ;
4777
;5232;(11011101) (335) (221) (DD)    ;(01101110) (156) (110) (6E)   ;(00000101) (5) (5) (05)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(00000110) (6) (6) (06)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;
4778
;5240;(00010100) (24) (20) (14)    ;(00010101) (25) (21) (15)   ;(11110001) (361) (241) (F1)   ;(01001011) (113) (75) (4B)   ;(00000110) (6) (6) (06)   ;(00000000) (0) (0) (00)   ;(01111001) (171) (121) (79)   ;(10010101) (225) (149) (95)   ;
4779
;5248;(01111000) (170) (120) (78)    ;(10011100) (234) (156) (9C)   ;(00110000) (60) (48) (30)   ;(00100100) (44) (36) (24)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(00000101) (5) (5) (05)   ;(11011101) (335) (221) (DD)   ;
4780
;5256;(01100110) (146) (102) (66)    ;(00000110) (6) (6) (06)   ;(00010110) (26) (22) (16)   ;(00000000) (0) (0) (00)   ;(00011001) (31) (25) (19)   ;(01010110) (126) (86) (56)   ;(01001011) (113) (75) (4B)   ;(00000110) (6) (6) (06)   ;
4781
;5264;(00000000) (0) (0) (00)    ;(01111001) (171) (121) (79)   ;(11011101) (335) (221) (DD)   ;(10000110) (206) (134) (86)   ;(11111110) (376) (254) (FE)   ;(01001111) (117) (79) (4F)   ;(01111000) (170) (120) (78)   ;(11011101) (335) (221) (DD)   ;
4782
;5272;(10001110) (216) (142) (8E)    ;(11111111) (377) (255) (FF)   ;(01000111) (107) (71) (47)   ;(11010101) (325) (213) (D5)   ;(11010101) (325) (213) (D5)   ;(00110011) (63) (51) (33)   ;(11000101) (305) (197) (C5)   ;(11001101) (315) (205) (CD)   ;
4783
;5280;(01110100) (164) (116) (74)    ;(00010011) (23) (19) (13)   ;(11110001) (361) (241) (F1)   ;(00110011) (63) (51) (33)   ;(11010001) (321) (209) (D1)   ;(00011100) (34) (28) (1C)   ;(00011000) (30) (24) (18)   ;(11001000) (310) (200) (C8)   ;
4784
;5288;(11011101) (335) (221) (DD)    ;(11111001) (371) (249) (F9)   ;(11011101) (335) (221) (DD)   ;(11100001) (341) (225) (E1)   ;(11001001) (311) (201) (C9)   ;(11011011) (333) (219) (DB)   ;(01110000) (160) (112) (70)   ;(01101111) (157) (111) (6F)   ;
4785
;5296;(11001001) (311) (201) (C9)    ;(11110001) (361) (241) (F1)   ;(11000001) (301) (193) (C1)   ;(11010001) (321) (209) (D1)   ;(11010101) (325) (213) (D5)   ;(11000101) (305) (197) (C5)   ;(11110101) (365) (245) (F5)   ;(10101111) (257) (175) (AF)   ;
4786
;5304;(01101111) (157) (111) (6F)    ;(10110000) (260) (176) (B0)   ;(00000110) (6) (6) (06)   ;(00010000) (20) (16) (10)   ;(00100000) (40) (32) (20)   ;(00000100) (4) (4) (04)   ;(00000110) (6) (6) (06)   ;(00001000) (10) (8) (08)   ;
4787
;5312;(01111001) (171) (121) (79)    ;(00101001) (51) (41) (29)   ;(11001011) (313) (203) (CB)   ;(00010001) (21) (17) (11)   ;(00010111) (27) (23) (17)   ;(00110000) (60) (48) (30)   ;(00000001) (1) (1) (01)   ;(00011001) (31) (25) (19)   ;
4788
;5320;(00010000) (20) (16) (10)    ;(11110111) (367) (247) (F7)   ;(11001001) (311) (201) (C9)   ;(00100001) (41) (33) (21)   ;(00000010) (2) (2) (02)   ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(01111110) (176) (126) (7E)   ;
4789
;5328;(11110101) (365) (245) (F5)    ;(00110011) (63) (51) (33)   ;(11001101) (315) (205) (CD)   ;(11010111) (327) (215) (D7)   ;(00010010) (22) (18) (12)   ;(00110011) (63) (51) (33)   ;(11001001) (311) (201) (C9)   ;(00100001) (41) (33) (21)   ;
4790
;5336;(00000100) (4) (4) (04)    ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(01001110) (116) (78) (4E)   ;(00100011) (43) (35) (23)   ;(01000110) (106) (70) (46)   ;(11000101) (305) (197) (C5)   ;(00100001) (41) (33) (21)   ;
4791
;5344;(00000100) (4) (4) (04)    ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(01001110) (116) (78) (4E)   ;(00100011) (43) (35) (23)   ;(01000110) (106) (70) (46)   ;(11000101) (305) (197) (C5)   ;(00100001) (41) (33) (21)   ;
4792
;5352;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(11100101) (345) (229) (E5)   ;(00100001) (41) (33) (21)   ;(11001011) (313) (203) (CB)   ;(00010100) (24) (20) (14)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;
4793
;5360;(00100110) (46) (38) (26)    ;(00010110) (26) (22) (16)   ;(11110001) (361) (241) (F1)   ;(11110001) (361) (241) (F1)   ;(11110001) (361) (241) (F1)   ;(11110001) (361) (241) (F1)   ;(11001001) (311) (201) (C9)   ;(00100001) (41) (33) (21)   ;
4794
;5368;(00000100) (4) (4) (04)    ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(11100101) (345) (229) (E5)   ;(00100001) (41) (33) (21)   ;(00000100) (4) (4) (04)   ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;
4795
;5376;(01001110) (116) (78) (4E)    ;(00100011) (43) (35) (23)   ;(01000110) (106) (70) (46)   ;(11000101) (305) (197) (C5)   ;(00100001) (41) (33) (21)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(11100101) (345) (229) (E5)   ;
4796
;5384;(00100001) (41) (33) (21)    ;(11001011) (313) (203) (CB)   ;(00010100) (24) (20) (14)   ;(11100101) (345) (229) (E5)   ;(11001101) (315) (205) (CD)   ;(00100110) (46) (38) (26)   ;(00010110) (26) (22) (16)   ;(11110001) (361) (241) (F1)   ;
4797
;5392;(11110001) (361) (241) (F1)    ;(11110001) (361) (241) (F1)   ;(11110001) (361) (241) (F1)   ;(11001001) (311) (201) (C9)   ;(11000001) (301) (193) (C1)   ;(11100001) (341) (225) (E1)   ;(11100101) (345) (229) (E5)   ;(11000101) (305) (197) (C5)   ;
4798
;5400;(10101111) (257) (175) (AF)    ;(01000111) (107) (71) (47)   ;(01001111) (117) (79) (4F)   ;(11101101) (355) (237) (ED)   ;(10110001) (261) (177) (B1)   ;(00100001) (41) (33) (21)   ;(11111111) (377) (255) (FF)   ;(11111111) (377) (255) (FF)   ;
4799
;5408;(11101101) (355) (237) (ED)    ;(01000010) (102) (66) (42)   ;(11001001) (311) (201) (C9)   ;(00100001) (41) (33) (21)   ;(00000010) (2) (2) (02)   ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(01111110) (176) (126) (7E)   ;
4800
;5416;(11000110) (306) (198) (C6)    ;(00110000) (60) (48) (30)   ;(01000111) (107) (71) (47)   ;(00111110) (76) (62) (3E)   ;(00111001) (71) (57) (39)   ;(10010000) (220) (144) (90)   ;(00110000) (60) (48) (30)   ;(00010000) (20) (16) (10)   ;
4801
;5424;(01111000) (170) (120) (78)    ;(11000110) (306) (198) (C6)   ;(00000111) (7) (7) (07)   ;(01000111) (107) (71) (47)   ;(00100001) (41) (33) (21)   ;(00000011) (3) (3) (03)   ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;
4802
;5432;(11001011) (313) (203) (CB)    ;(01000110) (106) (70) (46)   ;(00101000) (50) (40) (28)   ;(00000100) (4) (4) (04)   ;(01111000) (170) (120) (78)   ;(11000110) (306) (198) (C6)   ;(00100000) (40) (32) (20)   ;(01000111) (107) (71) (47)   ;
4803
;5440;(00100001) (41) (33) (21)    ;(00000110) (6) (6) (06)   ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(01111110) (176) (126) (7E)   ;(00100011) (43) (35) (23)   ;(01100110) (146) (102) (66)   ;(01101111) (157) (111) (6F)   ;
4804
;5448;(11100101) (345) (229) (E5)    ;(11000101) (305) (197) (C5)   ;(00110011) (63) (51) (33)   ;(00100001) (41) (33) (21)   ;(00000111) (7) (7) (07)   ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(01111110) (176) (126) (7E)   ;
4805
;5456;(00100011) (43) (35) (23)    ;(01100110) (146) (102) (66)   ;(01101111) (157) (111) (6F)   ;(11001101) (315) (205) (CD)   ;(01000101) (105) (69) (45)   ;(00100000) (40) (32) (20)   ;(11110001) (361) (241) (F1)   ;(00110011) (63) (51) (33)   ;
4806
;5464;(11001001) (311) (201) (C9)    ;(11011101) (335) (221) (DD)   ;(11100101) (345) (229) (E5)   ;(11011101) (335) (221) (DD)   ;(00100001) (41) (33) (21)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;
4807
;5472;(00111001) (71) (57) (39)    ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(00000100) (4) (4) (04)   ;(00000111) (7) (7) (07)   ;(00000111) (7) (7) (07)   ;(00000111) (7) (7) (07)   ;(00000111) (7) (7) (07)   ;
4808
;5480;(11100110) (346) (230) (E6)    ;(00001111) (17) (15) (0F)   ;(01000111) (107) (71) (47)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(00001000) (10) (8) (08)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;
4809
;5488;(00001001) (11) (9) (09)    ;(11100101) (345) (229) (E5)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(00000110) (6) (6) (06)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(00000111) (7) (7) (07)   ;
4810
;5496;(11100101) (345) (229) (E5)    ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(00000101) (5) (5) (05)   ;(11110101) (365) (245) (F5)   ;(00110011) (63) (51) (33)   ;(11000101) (305) (197) (C5)   ;(00110011) (63) (51) (33)   ;
4811
;5504;(11001101) (315) (205) (CD)    ;(00100011) (43) (35) (23)   ;(00010101) (25) (21) (15)   ;(00100001) (41) (33) (21)   ;(00000110) (6) (6) (06)   ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(11111001) (371) (249) (F9)   ;
4812
;5512;(11011101) (335) (221) (DD)    ;(01111110) (176) (126) (7E)   ;(00000100) (4) (4) (04)   ;(11100110) (346) (230) (E6)   ;(00001111) (17) (15) (0F)   ;(01000111) (107) (71) (47)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;
4813
;5520;(00001000) (10) (8) (08)    ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(00001001) (11) (9) (09)   ;(11100101) (345) (229) (E5)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(00000110) (6) (6) (06)   ;
4814
;5528;(11011101) (335) (221) (DD)    ;(01100110) (146) (102) (66)   ;(00000111) (7) (7) (07)   ;(11100101) (345) (229) (E5)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(00000101) (5) (5) (05)   ;(11110101) (365) (245) (F5)   ;
4815
;5536;(00110011) (63) (51) (33)    ;(11000101) (305) (197) (C5)   ;(00110011) (63) (51) (33)   ;(11001101) (315) (205) (CD)   ;(00100011) (43) (35) (23)   ;(00010101) (25) (21) (15)   ;(00100001) (41) (33) (21)   ;(00000110) (6) (6) (06)   ;
4816
;5544;(00000000) (0) (0) (00)    ;(00111001) (71) (57) (39)   ;(11111001) (371) (249) (F9)   ;(11011101) (335) (221) (DD)   ;(11100001) (341) (225) (E1)   ;(11001001) (311) (201) (C9)   ;(11011101) (335) (221) (DD)   ;(11100101) (345) (229) (E5)   ;
4817
;5552;(11011101) (335) (221) (DD)    ;(00100001) (41) (33) (21)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;(00111001) (71) (57) (39)   ;(00100001) (41) (33) (21)   ;(11111001) (371) (249) (F9)   ;
4818
;5560;(11111111) (377) (255) (FF)    ;(00111001) (71) (57) (39)   ;(11111001) (371) (249) (F9)   ;(11011101) (335) (221) (DD)   ;(01011110) (136) (94) (5E)   ;(00000100) (4) (4) (04)   ;(11011101) (335) (221) (DD)   ;(01010110) (126) (86) (56)   ;
4819
;5568;(00000101) (5) (5) (05)    ;(11010101) (325) (213) (D5)   ;(00100001) (41) (33) (21)   ;(00000011) (3) (3) (03)   ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(11101011) (353) (235) (EB)   ;(00000001) (1) (1) (01)   ;
4820
;5576;(00000100) (4) (4) (04)    ;(00000000) (0) (0) (00)   ;(11101101) (355) (237) (ED)   ;(10110000) (260) (176) (B0)   ;(11010001) (321) (209) (D1)   ;(00100001) (41) (33) (21)   ;(00000100) (4) (4) (04)   ;(00000000) (0) (0) (00)   ;
4821
;5584;(00011001) (31) (25) (19)    ;(01001101) (115) (77) (4D)   ;(01000100) (104) (68) (44)   ;(11011101) (335) (221) (DD)   ;(00110110) (66) (54) (36)   ;(11111001) (371) (249) (F9)   ;(00100000) (40) (32) (20)   ;(00001010) (12) (10) (0A)   ;
4822
;5592;(10000111) (207) (135) (87)    ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11111110) (376) (254) (FE)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11111101) (375) (253) (FD)   ;(11001011) (313) (203) (CB)   ;
4823
;5600;(00000111) (7) (7) (07)    ;(11100110) (346) (230) (E6)   ;(00000001) (1) (1) (01)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11111111) (377) (255) (FF)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;
4824
;5608;(11111110) (376) (254) (FE)    ;(11011101) (335) (221) (DD)   ;(10110110) (266) (182) (B6)   ;(11111111) (377) (255) (FF)   ;(00000010) (2) (2) (02)   ;(11110101) (365) (245) (F5)   ;(11110001) (361) (241) (F1)   ;(11011101) (335) (221) (DD)   ;
4825
;5616;(11001011) (313) (203) (CB)    ;(11111010) (372) (250) (FA)   ;(00100110) (46) (38) (26)   ;(11011101) (335) (221) (DD)   ;(11001011) (313) (203) (CB)   ;(11111011) (373) (251) (FB)   ;(00010110) (26) (22) (16)   ;(11011101) (335) (221) (DD)   ;
4826
;5624;(11001011) (313) (203) (CB)    ;(11111100) (374) (252) (FC)   ;(00010110) (26) (22) (16)   ;(11011101) (335) (221) (DD)   ;(11001011) (313) (203) (CB)   ;(11111101) (375) (253) (FD)   ;(00010110) (26) (22) (16)   ;(00001010) (12) (10) (0A)   ;
4827
;5632;(01101111) (157) (111) (6F)    ;(11011101) (335) (221) (DD)   ;(10010110) (226) (150) (96)   ;(00000110) (6) (6) (06)   ;(00111000) (70) (56) (38)   ;(00001001) (11) (9) (09)   ;(01111101) (175) (125) (7D)   ;(11011101) (335) (221) (DD)   ;
4828
;5640;(10010110) (226) (150) (96)    ;(00000110) (6) (6) (06)   ;(00000010) (2) (2) (02)   ;(11011101) (335) (221) (DD)   ;(11001011) (313) (203) (CB)   ;(11111010) (372) (250) (FA)   ;(11000110) (306) (198) (C6)   ;(11011101) (335) (221) (DD)   ;
4829
;5648;(00110101) (65) (53) (35)    ;(11111001) (371) (249) (F9)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11111001) (371) (249) (F9)   ;(10110111) (267) (183) (B7)   ;(00100000) (40) (32) (20)   ;(10111111) (277) (191) (BF)   ;
4830
;5656;(00100001) (41) (33) (21)    ;(00000001) (1) (1) (01)   ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(00000001) (1) (1) (01)   ;(00000100) (4) (4) (04)   ;(00000000) (0) (0) (00)   ;(11101101) (355) (237) (ED)   ;
4831
;5664;(10110000) (260) (176) (B0)    ;(11011101) (335) (221) (DD)   ;(11111001) (371) (249) (F9)   ;(11011101) (335) (221) (DD)   ;(11100001) (341) (225) (E1)   ;(11001001) (311) (201) (C9)   ;(11011101) (335) (221) (DD)   ;(11100101) (345) (229) (E5)   ;
4832
;5672;(11011101) (335) (221) (DD)    ;(00100001) (41) (33) (21)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;(00111001) (71) (57) (39)   ;(00100001) (41) (33) (21)   ;(11001010) (312) (202) (CA)   ;
4833
;5680;(11111111) (377) (255) (FF)    ;(00111001) (71) (57) (39)   ;(11111001) (371) (249) (F9)   ;(11011101) (335) (221) (DD)   ;(00110110) (66) (54) (36)   ;(11100010) (342) (226) (E2)   ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;
4834
;5688;(00110110) (66) (54) (36)    ;(11100011) (343) (227) (E3)   ;(00000000) (0) (0) (00)   ;(00100001) (41) (33) (21)   ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(11011101) (335) (221) (DD)   ;
4835
;5696;(01110101) (165) (117) (75)    ;(11111110) (376) (254) (FE)   ;(11011101) (335) (221) (DD)   ;(01110100) (164) (116) (74)   ;(11111111) (377) (255) (FF)   ;(00100001) (41) (33) (21)   ;(00000101) (5) (5) (05)   ;(00000000) (0) (0) (00)   ;
4836
;5704;(00111001) (71) (57) (39)    ;(11011101) (335) (221) (DD)   ;(01110101) (165) (117) (75)   ;(11111100) (374) (252) (FC)   ;(11011101) (335) (221) (DD)   ;(01110100) (164) (116) (74)   ;(11111101) (375) (253) (FD)   ;(00100001) (41) (33) (21)   ;
4837
;5712;(00001010) (12) (10) (0A)    ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(11011101) (335) (221) (DD)   ;(01110101) (165) (117) (75)   ;(11111010) (372) (250) (FA)   ;(11011101) (335) (221) (DD)   ;(01110100) (164) (116) (74)   ;
4838
;5720;(11111011) (373) (251) (FB)    ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11111010) (372) (250) (FA)   ;(11000110) (306) (198) (C6)   ;(00000100) (4) (4) (04)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;
4839
;5728;(11111000) (370) (248) (F8)    ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11111011) (373) (251) (FB)   ;(11001110) (316) (206) (CE)   ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;
4840
;5736;(11111001) (371) (249) (F9)    ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(00001000) (10) (8) (08)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(00001001) (11) (9) (09)   ;(01001110) (116) (78) (4E)   ;
4841
;5744;(00100011) (43) (35) (23)    ;(11011101) (335) (221) (DD)   ;(01110101) (165) (117) (75)   ;(00001000) (10) (8) (08)   ;(11011101) (335) (221) (DD)   ;(01110100) (164) (116) (74)   ;(00001001) (11) (9) (09)   ;(11011101) (335) (221) (DD)   ;
4842
;5752;(01110001) (161) (113) (71)    ;(11110111) (367) (247) (F7)   ;(01111001) (171) (121) (79)   ;(10110111) (267) (183) (B7)   ;(11001010) (312) (202) (CA)   ;(00101111) (57) (47) (2F)   ;(00100000) (40) (32) (20)   ;(11011101) (335) (221) (DD)   ;
4843
;5760;(01111110) (176) (126) (7E)    ;(11110111) (367) (247) (F7)   ;(11010110) (326) (214) (D6)   ;(00100101) (45) (37) (25)   ;(11000010) (302) (194) (C2)   ;(00001100) (14) (12) (0C)   ;(00100000) (40) (32) (20)   ;(11011101) (335) (221) (DD)   ;
4844
;5768;(00110110) (66) (54) (36)    ;(11100001) (341) (225) (E1)   ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;(00110110) (66) (54) (36)   ;(11100000) (340) (224) (E0)   ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;
4845
;5776;(00110110) (66) (54) (36)    ;(11011111) (337) (223) (DF)   ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;(00110110) (66) (54) (36)   ;(11011110) (336) (222) (DE)   ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;
4846
;5784;(00110110) (66) (54) (36)    ;(11011101) (335) (221) (DD)   ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;(00110110) (66) (54) (36)   ;(11011100) (334) (220) (DC)   ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;
4847
;5792;(00110110) (66) (54) (36)    ;(11011011) (333) (219) (DB)   ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;(00110110) (66) (54) (36)   ;(11011010) (332) (218) (DA)   ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;
4848
;5800;(00110110) (66) (54) (36)    ;(11010010) (322) (210) (D2)   ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;(00110110) (66) (54) (36)   ;(11110110) (366) (246) (F6)   ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;
4849
;5808;(00110110) (66) (54) (36)    ;(11010001) (321) (209) (D1)   ;(11111111) (377) (255) (FF)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(00001000) (10) (8) (08)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;
4850
;5816;(11110100) (364) (244) (F4)    ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(00001001) (11) (9) (09)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11110101) (365) (245) (F5)   ;(11011101) (335) (221) (DD)   ;
4851
;5824;(01101110) (156) (110) (6E)    ;(11110100) (364) (244) (F4)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(11110101) (365) (245) (F5)   ;(01111110) (176) (126) (7E)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;
4852
;5832;(11110011) (363) (243) (F3)    ;(11011101) (335) (221) (DD)   ;(00110100) (64) (52) (34)   ;(11110100) (364) (244) (F4)   ;(00100000) (40) (32) (20)   ;(00000011) (3) (3) (03)   ;(11011101) (335) (221) (DD)   ;(00110100) (64) (52) (34)   ;
4853
;5840;(11110101) (365) (245) (F5)    ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110100) (364) (244) (F4)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(00001000) (10) (8) (08)   ;(11011101) (335) (221) (DD)   ;
4854
;5848;(01111110) (176) (126) (7E)    ;(11110101) (365) (245) (F5)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(00001001) (11) (9) (09)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110011) (363) (243) (F3)   ;
4855
;5856;(11010110) (326) (214) (D6)    ;(00100101) (45) (37) (25)   ;(00100000) (40) (32) (20)   ;(00100011) (43) (35) (23)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(00000110) (6) (6) (06)   ;(11011101) (335) (221) (DD)   ;
4856
;5864;(01100110) (146) (102) (66)    ;(00000111) (7) (7) (07)   ;(11100101) (345) (229) (E5)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110011) (363) (243) (F3)   ;(11110101) (365) (245) (F5)   ;(00110011) (63) (51) (33)   ;
4857
;5872;(11011101) (335) (221) (DD)    ;(01101110) (156) (110) (6E)   ;(00000100) (4) (4) (04)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(00000101) (5) (5) (05)   ;(11001101) (315) (205) (CD)   ;(01000101) (105) (69) (45)   ;
4858
;5880;(00100000) (40) (32) (20)    ;(11110001) (361) (241) (F1)   ;(00110011) (63) (51) (33)   ;(11011101) (335) (221) (DD)   ;(00110100) (64) (52) (34)   ;(11100010) (342) (226) (E2)   ;(11000010) (302) (194) (C2)   ;(01101001) (151) (105) (69)   ;
4859
;5888;(00010110) (26) (22) (16)    ;(11011101) (335) (221) (DD)   ;(00110100) (64) (52) (34)   ;(11100011) (343) (227) (E3)   ;(11000011) (303) (195) (C3)   ;(01101001) (151) (105) (69)   ;(00010110) (26) (22) (16)   ;(11011101) (335) (221) (DD)   ;
4860
;5896;(01111110) (176) (126) (7E)    ;(11110011) (363) (243) (F3)   ;(11010110) (326) (214) (D6)   ;(00110000) (60) (48) (30)   ;(00111000) (70) (56) (38)   ;(00111110) (76) (62) (3E)   ;(00111110) (76) (62) (3E)   ;(00111001) (71) (57) (39)   ;
4861
;5904;(11011101) (335) (221) (DD)    ;(10010110) (226) (150) (96)   ;(11110011) (363) (243) (F3)   ;(00111000) (70) (56) (38)   ;(00110111) (67) (55) (37)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11010001) (321) (209) (D1)   ;
4862
;5912;(00111100) (74) (60) (3C)    ;(00100000) (40) (32) (20)   ;(00011100) (34) (28) (1C)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110110) (366) (246) (F6)   ;(01001111) (117) (79) (4F)   ;(10000111) (207) (135) (87)   ;
4863
;5920;(10000111) (207) (135) (87)    ;(10000001) (201) (129) (81)   ;(10000111) (207) (135) (87)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11110010) (362) (242) (F2)   ;(11011101) (335) (221) (DD)   ;(10000110) (206) (134) (86)   ;
4864
;5928;(11110011) (363) (243) (F3)    ;(11000110) (306) (198) (C6)   ;(11010000) (320) (208) (D0)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11110110) (366) (246) (F6)   ;(10110111) (267) (183) (B7)   ;(00100000) (40) (32) (20)   ;
4865
;5936;(10001110) (216) (142) (8E)    ;(11011101) (335) (221) (DD)   ;(00110110) (66) (54) (36)   ;(11100000) (340) (224) (E0)   ;(00000001) (1) (1) (01)   ;(00011000) (30) (24) (18)   ;(10001000) (210) (136) (88)   ;(11011101) (335) (221) (DD)   ;
4866
;5944;(01101110) (156) (110) (6E)    ;(11010001) (321) (209) (D1)   ;(01001101) (115) (77) (4D)   ;(00101001) (51) (41) (29)   ;(00101001) (51) (41) (29)   ;(00001001) (11) (9) (09)   ;(00101001) (51) (41) (29)   ;(11011101) (335) (221) (DD)   ;
4867
;5952;(01001110) (116) (78) (4E)    ;(11110011) (363) (243) (F3)   ;(00001001) (11) (9) (09)   ;(01111101) (175) (125) (7D)   ;(11000110) (306) (198) (C6)   ;(11010000) (320) (208) (D0)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;
4868
;5960;(11010001) (321) (209) (D1)    ;(11000011) (303) (195) (C3)   ;(10111111) (277) (191) (BF)   ;(00010110) (26) (22) (16)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110011) (363) (243) (F3)   ;(11010110) (326) (214) (D6)   ;
4869
;5968;(00101110) (56) (46) (2E)    ;(00100000) (40) (32) (20)   ;(00001110) (16) (14) (0E)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11010001) (321) (209) (D1)   ;(00111100) (74) (60) (3C)   ;(11000010) (302) (194) (C2)   ;
4870
;5976;(10111111) (277) (191) (BF)    ;(00010110) (26) (22) (16)   ;(11011101) (335) (221) (DD)   ;(00110110) (66) (54) (36)   ;(11010001) (321) (209) (D1)   ;(00000000) (0) (0) (00)   ;(11000011) (303) (195) (C3)   ;(10111111) (277) (191) (BF)   ;
4871
;5984;(00010110) (26) (22) (16)    ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110011) (363) (243) (F3)   ;(11010110) (326) (214) (D6)   ;(01100001) (141) (97) (61)   ;(00111000) (70) (56) (38)   ;(00010001) (21) (17) (11)   ;
4872
;5992;(00111110) (76) (62) (3E)    ;(01111010) (172) (122) (7A)   ;(11011101) (335) (221) (DD)   ;(10010110) (226) (150) (96)   ;(11110011) (363) (243) (F3)   ;(00111000) (70) (56) (38)   ;(00001010) (12) (10) (0A)   ;(11011101) (335) (221) (DD)   ;
4873
;6000;(11001011) (313) (203) (CB)    ;(11110011) (363) (243) (F3)   ;(10101110) (256) (174) (AE)   ;(11011101) (335) (221) (DD)   ;(00110110) (66) (54) (36)   ;(11011001) (331) (217) (D9)   ;(00000001) (1) (1) (01)   ;(00011000) (30) (24) (18)   ;
4874
;6008;(00000100) (4) (4) (04)    ;(11011101) (335) (221) (DD)   ;(00110110) (66) (54) (36)   ;(11011001) (331) (217) (D9)   ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110011) (363) (243) (F3)   ;
4875
;6016;(11010110) (326) (214) (D6)    ;(00100000) (40) (32) (20)   ;(11001010) (312) (202) (CA)   ;(00011100) (34) (28) (1C)   ;(00011000) (30) (24) (18)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110011) (363) (243) (F3)   ;
4876
;6024;(11010110) (326) (214) (D6)    ;(00101011) (53) (43) (2B)   ;(11001010) (312) (202) (CA)   ;(00010101) (25) (21) (15)   ;(00011000) (30) (24) (18)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110011) (363) (243) (F3)   ;
4877
;6032;(11010110) (326) (214) (D6)    ;(00101101) (55) (45) (2D)   ;(00101000) (50) (40) (28)   ;(01111010) (172) (122) (7A)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110011) (363) (243) (F3)   ;(11010110) (326) (214) (D6)   ;
4878
;6040;(01000010) (102) (66) (42)    ;(11001010) (312) (202) (CA)   ;(00100011) (43) (35) (23)   ;(00011000) (30) (24) (18)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110011) (363) (243) (F3)   ;(11010110) (326) (214) (D6)   ;
4879
;6048;(01000011) (103) (67) (43)    ;(11001010) (312) (202) (CA)   ;(00110001) (61) (49) (31)   ;(00011000) (30) (24) (18)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110011) (363) (243) (F3)   ;(11010110) (326) (214) (D6)   ;
4880
;6056;(01000100) (104) (68) (44)    ;(11001010) (312) (202) (CA)   ;(01000111) (107) (71) (47)   ;(00011010) (32) (26) (1A)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110011) (363) (243) (F3)   ;(11010110) (326) (214) (D6)   ;
4881
;6064;(01000110) (106) (70) (46)    ;(11001010) (312) (202) (CA)   ;(01100011) (143) (99) (63)   ;(00011010) (32) (26) (1A)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110011) (363) (243) (F3)   ;(11010110) (326) (214) (D6)   ;
4882
;6072;(01001000) (110) (72) (48)    ;(11001010) (312) (202) (CA)   ;(10111111) (277) (191) (BF)   ;(00010110) (26) (22) (16)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110011) (363) (243) (F3)   ;(11010110) (326) (214) (D6)   ;
4883
;6080;(01001001) (111) (73) (49)    ;(11001010) (312) (202) (CA)   ;(01000111) (107) (71) (47)   ;(00011010) (32) (26) (1A)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110011) (363) (243) (F3)   ;(11010110) (326) (214) (D6)   ;
4884
;6088;(01001010) (112) (74) (4A)    ;(11001010) (312) (202) (CA)   ;(10111111) (277) (191) (BF)   ;(00010110) (26) (22) (16)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110011) (363) (243) (F3)   ;(11010110) (326) (214) (D6)   ;
4885
;6096;(01001100) (114) (76) (4C)    ;(00101000) (50) (40) (28)   ;(01010111) (127) (87) (57)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110011) (363) (243) (F3)   ;(11010110) (326) (214) (D6)   ;(01001111) (117) (79) (4F)   ;
4886
;6104;(11001010) (312) (202) (CA)    ;(01010001) (121) (81) (51)   ;(00011010) (32) (26) (1A)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110011) (363) (243) (F3)   ;(11010110) (326) (214) (D6)   ;(01010000) (120) (80) (50)   ;
4887
;6112;(11001010) (312) (202) (CA)    ;(10011101) (235) (157) (9D)   ;(00011001) (31) (25) (19)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110011) (363) (243) (F3)   ;(11010110) (326) (214) (D6)   ;(01010011) (123) (83) (53)   ;
4888
;6120;(11001010) (312) (202) (CA)    ;(01111011) (173) (123) (7B)   ;(00011000) (30) (24) (18)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110011) (363) (243) (F3)   ;(11010110) (326) (214) (D6)   ;(01010100) (124) (84) (54)   ;
4889
;6128;(11001010) (312) (202) (CA)    ;(10111111) (277) (191) (BF)   ;(00010110) (26) (22) (16)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110011) (363) (243) (F3)   ;(11010110) (326) (214) (D6)   ;(01010101) (125) (85) (55)   ;
4890
;6136;(11001010) (312) (202) (CA)    ;(01010111) (127) (87) (57)   ;(00011010) (32) (26) (1A)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110011) (363) (243) (F3)   ;(11010110) (326) (214) (D6)   ;(01011000) (130) (88) (58)   ;
4891
;6144;(11001010) (312) (202) (CA)    ;(01011101) (135) (93) (5D)   ;(00011010) (32) (26) (1A)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110011) (363) (243) (F3)   ;(11010110) (326) (214) (D6)   ;(01011010) (132) (90) (5A)   ;
4892
;6152;(11001010) (312) (202) (CA)    ;(10111111) (277) (191) (BF)   ;(00010110) (26) (22) (16)   ;(11000011) (303) (195) (C3)   ;(01101001) (151) (105) (69)   ;(00011010) (32) (26) (1A)   ;(11011101) (335) (221) (DD)   ;(00110110) (66) (54) (36)   ;
4893
;6160;(11100001) (341) (225) (E1)    ;(00000001) (1) (1) (01)   ;(11000011) (303) (195) (C3)   ;(10111111) (277) (191) (BF)   ;(00010110) (26) (22) (16)   ;(11011101) (335) (221) (DD)   ;(00110110) (66) (54) (36)   ;(11011111) (337) (223) (DF)   ;
4894
;6168;(00000001) (1) (1) (01)    ;(11000011) (303) (195) (C3)   ;(10111111) (277) (191) (BF)   ;(00010110) (26) (22) (16)   ;(11011101) (335) (221) (DD)   ;(00110110) (66) (54) (36)   ;(11011110) (336) (222) (DE)   ;(00000001) (1) (1) (01)   ;
4895
;6176;(11000011) (303) (195) (C3)    ;(10111111) (277) (191) (BF)   ;(00010110) (26) (22) (16)   ;(11011101) (335) (221) (DD)   ;(00110110) (66) (54) (36)   ;(11011100) (334) (220) (DC)   ;(00000001) (1) (1) (01)   ;(11000011) (303) (195) (C3)   ;
4896
;6184;(10111111) (277) (191) (BF)    ;(00010110) (26) (22) (16)   ;(11011101) (335) (221) (DD)   ;(00110110) (66) (54) (36)   ;(11011011) (333) (219) (DB)   ;(00000001) (1) (1) (01)   ;(11000011) (303) (195) (C3)   ;(10111111) (277) (191) (BF)   ;
4897
;6192;(00010110) (26) (22) (16)    ;(11011101) (335) (221) (DD)   ;(11001011) (313) (203) (CB)   ;(11011100) (334) (220) (DC)   ;(01000110) (106) (70) (46)   ;(00101000) (50) (40) (28)   ;(00010001) (21) (17) (11)   ;(11011101) (335) (221) (DD)   ;
4898
;6200;(01101110) (156) (110) (6E)    ;(00001010) (12) (10) (0A)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(00001011) (13) (11) (0B)   ;(00100011) (43) (35) (23)   ;(11011101) (335) (221) (DD)   ;(01110101) (165) (117) (75)   ;
4899
;6208;(00001010) (12) (10) (0A)    ;(11011101) (335) (221) (DD)   ;(01110100) (164) (116) (74)   ;(00001011) (13) (11) (0B)   ;(00101011) (53) (43) (2B)   ;(01000110) (106) (70) (46)   ;(00011000) (30) (24) (18)   ;(00010011) (23) (19) (13)   ;
4900
;6216;(11011101) (335) (221) (DD)    ;(01101110) (156) (110) (6E)   ;(00001010) (12) (10) (0A)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(00001011) (13) (11) (0B)   ;(00100011) (43) (35) (23)   ;(00100011) (43) (35) (23)   ;
4901
;6224;(11011101) (335) (221) (DD)    ;(01110101) (165) (117) (75)   ;(00001010) (12) (10) (0A)   ;(11011101) (335) (221) (DD)   ;(01110100) (164) (116) (74)   ;(00001011) (13) (11) (0B)   ;(00101011) (53) (43) (2B)   ;(00101011) (53) (43) (2B)   ;
4902
;6232;(01000110) (106) (70) (46)    ;(00100011) (43) (35) (23)   ;(01001110) (116) (78) (4E)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(00000110) (6) (6) (06)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;
4903
;6240;(00000111) (7) (7) (07)    ;(11100101) (345) (229) (E5)   ;(11000101) (305) (197) (C5)   ;(00110011) (63) (51) (33)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(00000100) (4) (4) (04)   ;(11011101) (335) (221) (DD)   ;
4904
;6248;(01100110) (146) (102) (66)    ;(00000101) (5) (5) (05)   ;(11001101) (315) (205) (CD)   ;(01000101) (105) (69) (45)   ;(00100000) (40) (32) (20)   ;(11110001) (361) (241) (F1)   ;(00110011) (63) (51) (33)   ;(11011101) (335) (221) (DD)   ;
4905
;6256;(00110100) (64) (52) (34)    ;(11100010) (342) (226) (E2)   ;(11000010) (302) (194) (C2)   ;(10010011) (223) (147) (93)   ;(00011010) (32) (26) (1A)   ;(11011101) (335) (221) (DD)   ;(00110100) (64) (52) (34)   ;(11100011) (343) (227) (E3)   ;
4906
;6264;(11000011) (303) (195) (C3)    ;(10010011) (223) (147) (93)   ;(00011010) (32) (26) (1A)   ;(00100001) (41) (33) (21)   ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(11011101) (335) (221) (DD)   ;
4907
;6272;(01110101) (165) (117) (75)    ;(11110100) (364) (244) (F4)   ;(11011101) (335) (221) (DD)   ;(01110100) (164) (116) (74)   ;(11110101) (365) (245) (F5)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(00001010) (12) (10) (0A)   ;
4908
;6280;(11011101) (335) (221) (DD)    ;(01100110) (146) (102) (66)   ;(00001011) (13) (11) (0B)   ;(00100011) (43) (35) (23)   ;(00100011) (43) (35) (23)   ;(11011101) (335) (221) (DD)   ;(01110101) (165) (117) (75)   ;(00001010) (12) (10) (0A)   ;
4909
;6288;(11011101) (335) (221) (DD)    ;(01110100) (164) (116) (74)   ;(00001011) (13) (11) (0B)   ;(00101011) (53) (43) (2B)   ;(00101011) (53) (43) (2B)   ;(01001110) (116) (78) (4E)   ;(00100011) (43) (35) (23)   ;(01000110) (106) (70) (46)   ;
4910
;6296;(11011101) (335) (221) (DD)    ;(01101110) (156) (110) (6E)   ;(11110100) (364) (244) (F4)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(11110101) (365) (245) (F5)   ;(01110001) (161) (113) (71)   ;(00100011) (43) (35) (23)   ;
4911
;6304;(01110000) (160) (112) (70)    ;(11000101) (305) (197) (C5)   ;(11001101) (315) (205) (CD)   ;(00010100) (24) (20) (14)   ;(00010101) (25) (21) (15)   ;(11110001) (361) (241) (F1)   ;(01011101) (135) (93) (5D)   ;(11011101) (335) (221) (DD)   ;
4912
;6312;(01111110) (176) (126) (7E)    ;(11010001) (321) (209) (D1)   ;(00111100) (74) (60) (3C)   ;(00100000) (40) (32) (20)   ;(00000011) (3) (3) (03)   ;(11011101) (335) (221) (DD)   ;(01110011) (163) (115) (73)   ;(11010001) (321) (209) (D1)   ;
4913
;6320;(11011101) (335) (221) (DD)    ;(11001011) (313) (203) (CB)   ;(11100001) (341) (225) (E1)   ;(01000110) (106) (70) (46)   ;(00100000) (40) (32) (20)   ;(01000110) (106) (70) (46)   ;(01111011) (173) (123) (7B)   ;(11011101) (335) (221) (DD)   ;
4914
;6328;(10010110) (226) (150) (96)    ;(11110110) (366) (246) (F6)   ;(00110000) (60) (48) (30)   ;(01000000) (100) (64) (40)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110110) (366) (246) (F6)   ;(10010011) (223) (147) (93)   ;
4915
;6336;(11011101) (335) (221) (DD)    ;(01110111) (167) (119) (77)   ;(11110010) (362) (242) (F2)   ;(11011101) (335) (221) (DD)   ;(01001110) (116) (78) (4E)   ;(11100010) (342) (226) (E2)   ;(11011101) (335) (221) (DD)   ;(01000110) (106) (70) (46)   ;
4916
;6344;(11100011) (343) (227) (E3)    ;(11011101) (335) (221) (DD)   ;(01010110) (126) (86) (56)   ;(11110010) (362) (242) (F2)   ;(11011101) (335) (221) (DD)   ;(00110101) (65) (53) (35)   ;(11110010) (362) (242) (F2)   ;(01111010) (172) (122) (7A)   ;
4917
;6352;(10110111) (267) (183) (B7)    ;(00101000) (50) (40) (28)   ;(00011101) (35) (29) (1D)   ;(11000101) (305) (197) (C5)   ;(11010101) (325) (213) (D5)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(00000110) (6) (6) (06)   ;
4918
;6360;(11011101) (335) (221) (DD)    ;(01100110) (146) (102) (66)   ;(00000111) (7) (7) (07)   ;(11100101) (345) (229) (E5)   ;(00111110) (76) (62) (3E)   ;(00100000) (40) (32) (20)   ;(11110101) (365) (245) (F5)   ;(00110011) (63) (51) (33)   ;
4919
;6368;(11011101) (335) (221) (DD)    ;(01101110) (156) (110) (6E)   ;(00000100) (4) (4) (04)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(00000101) (5) (5) (05)   ;(11001101) (315) (205) (CD)   ;(01000101) (105) (69) (45)   ;
4920
;6376;(00100000) (40) (32) (20)    ;(11110001) (361) (241) (F1)   ;(00110011) (63) (51) (33)   ;(11010001) (321) (209) (D1)   ;(11000001) (301) (193) (C1)   ;(00000011) (3) (3) (03)   ;(00011000) (30) (24) (18)   ;(11011001) (331) (217) (D9)   ;
4921
;6384;(11011101) (335) (221) (DD)    ;(01110001) (161) (113) (71)   ;(11100010) (342) (226) (E2)   ;(11011101) (335) (221) (DD)   ;(01110000) (160) (112) (70)   ;(11100011) (343) (227) (E3)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;
4922
;6392;(11110010) (362) (242) (F2)    ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11110110) (366) (246) (F6)   ;(11011101) (335) (221) (DD)   ;(01010110) (126) (86) (56)   ;(11010001) (321) (209) (D1)   ;(11011101) (335) (221) (DD)   ;
4923
;6400;(01001110) (116) (78) (4E)    ;(11100010) (342) (226) (E2)   ;(11011101) (335) (221) (DD)   ;(01000110) (106) (70) (46)   ;(11100011) (343) (227) (E3)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(11110100) (364) (244) (F4)   ;
4924
;6408;(11011101) (335) (221) (DD)    ;(01100110) (146) (102) (66)   ;(11110101) (365) (245) (F5)   ;(01111110) (176) (126) (7E)   ;(00100011) (43) (35) (23)   ;(01100110) (146) (102) (66)   ;(01101111) (157) (111) (6F)   ;(01111110) (176) (126) (7E)   ;
4925
;6416;(11011101) (335) (221) (DD)    ;(01110111) (167) (119) (77)   ;(11110010) (362) (242) (F2)   ;(10110111) (267) (183) (B7)   ;(00101000) (50) (40) (28)   ;(01001010) (112) (74) (4A)   ;(01101010) (152) (106) (6A)   ;(00010101) (25) (21) (15)   ;
4926
;6424;(10101111) (257) (175) (AF)    ;(10010101) (225) (149) (95)   ;(11100010) (342) (226) (E2)   ;(00011111) (37) (31) (1F)   ;(00011001) (31) (25) (19)   ;(11101110) (356) (238) (EE)   ;(10000000) (200) (128) (80)   ;(11110010) (362) (242) (F2)   ;
4927
;6432;(01100000) (140) (96) (60)    ;(00011001) (31) (25) (19)   ;(11000101) (305) (197) (C5)   ;(11010101) (325) (213) (D5)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(00000110) (6) (6) (06)   ;(11011101) (335) (221) (DD)   ;
4928
;6440;(01100110) (146) (102) (66)    ;(00000111) (7) (7) (07)   ;(11100101) (345) (229) (E5)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110010) (362) (242) (F2)   ;(11110101) (365) (245) (F5)   ;(00110011) (63) (51) (33)   ;
4929
;6448;(11011101) (335) (221) (DD)    ;(01101110) (156) (110) (6E)   ;(00000100) (4) (4) (04)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(00000101) (5) (5) (05)   ;(11001101) (315) (205) (CD)   ;(01000101) (105) (69) (45)   ;
4930
;6456;(00100000) (40) (32) (20)    ;(11110001) (361) (241) (F1)   ;(00110011) (63) (51) (33)   ;(11010001) (321) (209) (D1)   ;(11000001) (301) (193) (C1)   ;(00000011) (3) (3) (03)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;
4931
;6464;(11110100) (364) (244) (F4)    ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(11110101) (365) (245) (F5)   ;(01111110) (176) (126) (7E)   ;(00100011) (43) (35) (23)   ;(01100110) (146) (102) (66)   ;(01101111) (157) (111) (6F)   ;
4932
;6472;(00100011) (43) (35) (23)    ;(11011101) (335) (221) (DD)   ;(01110101) (165) (117) (75)   ;(11110000) (360) (240) (F0)   ;(11011101) (335) (221) (DD)   ;(01110100) (164) (116) (74)   ;(11110001) (361) (241) (F1)   ;(11011101) (335) (221) (DD)   ;
4933
;6480;(01101110) (156) (110) (6E)    ;(11110100) (364) (244) (F4)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(11110101) (365) (245) (F5)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110000) (360) (240) (F0)   ;
4934
;6488;(01110111) (167) (119) (77)    ;(00100011) (43) (35) (23)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110001) (361) (241) (F1)   ;(01110111) (167) (119) (77)   ;(00011000) (30) (24) (18)   ;(10100101) (245) (165) (A5)   ;
4935
;6496;(11011101) (335) (221) (DD)    ;(01110001) (161) (113) (71)   ;(11100010) (342) (226) (E2)   ;(11011101) (335) (221) (DD)   ;(01110000) (160) (112) (70)   ;(11100011) (343) (227) (E3)   ;(11011101) (335) (221) (DD)   ;(11001011) (313) (203) (CB)   ;
4936
;6504;(11100001) (341) (225) (E1)    ;(01000110) (106) (70) (46)   ;(11001010) (312) (202) (CA)   ;(10010011) (223) (147) (93)   ;(00011010) (32) (26) (1A)   ;(01111011) (173) (123) (7B)   ;(11011101) (335) (221) (DD)   ;(10010110) (226) (150) (96)   ;
4937
;6512;(11110110) (366) (246) (F6)    ;(11010010) (322) (210) (D2)   ;(10010011) (223) (147) (93)   ;(00011010) (32) (26) (1A)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110110) (366) (246) (F6)   ;(10010011) (223) (147) (93)   ;
4938
;6520;(01011111) (137) (95) (5F)    ;(01010011) (123) (83) (53)   ;(00011101) (35) (29) (1D)   ;(01111010) (172) (122) (7A)   ;(10110111) (267) (183) (B7)   ;(11001010) (312) (202) (CA)   ;(10001010) (212) (138) (8A)   ;(00011010) (32) (26) (1A)   ;
4939
;6528;(11000101) (305) (197) (C5)    ;(11010101) (325) (213) (D5)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(00000110) (6) (6) (06)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(00000111) (7) (7) (07)   ;
4940
;6536;(11100101) (345) (229) (E5)    ;(00111110) (76) (62) (3E)   ;(00100000) (40) (32) (20)   ;(11110101) (365) (245) (F5)   ;(00110011) (63) (51) (33)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(00000100) (4) (4) (04)   ;
4941
;6544;(11011101) (335) (221) (DD)    ;(01100110) (146) (102) (66)   ;(00000101) (5) (5) (05)   ;(11001101) (315) (205) (CD)   ;(01000101) (105) (69) (45)   ;(00100000) (40) (32) (20)   ;(11110001) (361) (241) (F1)   ;(00110011) (63) (51) (33)   ;
4942
;6552;(11010001) (321) (209) (D1)    ;(11000001) (301) (193) (C1)   ;(00000011) (3) (3) (03)   ;(00011000) (30) (24) (18)   ;(11011100) (334) (220) (DC)   ;(00100001) (41) (33) (21)   ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;
4943
;6560;(00111001) (71) (57) (39)    ;(01001101) (115) (77) (4D)   ;(01000100) (104) (68) (44)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(00001010) (12) (10) (0A)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;
4944
;6568;(00001011) (13) (11) (0B)    ;(00100011) (43) (35) (23)   ;(00100011) (43) (35) (23)   ;(11011101) (335) (221) (DD)   ;(01110101) (165) (117) (75)   ;(00001010) (12) (10) (0A)   ;(11011101) (335) (221) (DD)   ;(01110100) (164) (116) (74)   ;
4945
;6576;(00001011) (13) (11) (0B)    ;(00101011) (53) (43) (2B)   ;(00101011) (53) (43) (2B)   ;(01011110) (136) (94) (5E)   ;(00100011) (43) (35) (23)   ;(01010110) (126) (86) (56)   ;(01111011) (173) (123) (7B)   ;(00000010) (2) (2) (02)   ;
4946
;6584;(00000011) (3) (3) (03)    ;(01111010) (172) (122) (7A)   ;(00000010) (2) (2) (02)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(00000110) (6) (6) (06)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;
4947
;6592;(00000111) (7) (7) (07)    ;(11100101) (345) (229) (E5)   ;(00111110) (76) (62) (3E)   ;(00110000) (60) (48) (30)   ;(11110101) (365) (245) (F5)   ;(00110011) (63) (51) (33)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;
4948
;6600;(00000100) (4) (4) (04)    ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(00000101) (5) (5) (05)   ;(11001101) (315) (205) (CD)   ;(01000101) (105) (69) (45)   ;(00100000) (40) (32) (20)   ;(11110001) (361) (241) (F1)   ;
4949
;6608;(00110011) (63) (51) (33)    ;(11011101) (335) (221) (DD)   ;(01001110) (116) (78) (4E)   ;(11100010) (342) (226) (E2)   ;(11011101) (335) (221) (DD)   ;(01000110) (106) (70) (46)   ;(11100011) (343) (227) (E3)   ;(00000011) (3) (3) (03)   ;
4950
;6616;(11000101) (305) (197) (C5)    ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(00000110) (6) (6) (06)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(00000111) (7) (7) (07)   ;(11100101) (345) (229) (E5)   ;
4951
;6624;(00111110) (76) (62) (3E)    ;(01111000) (170) (120) (78)   ;(11110101) (365) (245) (F5)   ;(00110011) (63) (51) (33)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(00000100) (4) (4) (04)   ;(11011101) (335) (221) (DD)   ;
4952
;6632;(01100110) (146) (102) (66)    ;(00000101) (5) (5) (05)   ;(11001101) (315) (205) (CD)   ;(01000101) (105) (69) (45)   ;(00100000) (40) (32) (20)   ;(11110001) (361) (241) (F1)   ;(00110011) (63) (51) (33)   ;(11000001) (301) (193) (C1)   ;
4953
;6640;(00000011) (3) (3) (03)    ;(00100001) (41) (33) (21)   ;(00001011) (13) (11) (0B)   ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(01010110) (126) (86) (56)   ;(11000101) (305) (197) (C5)   ;(11011101) (335) (221) (DD)   ;
4954
;6648;(01101110) (156) (110) (6E)    ;(00000110) (6) (6) (06)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(00000111) (7) (7) (07)   ;(11100101) (345) (229) (E5)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;
4955
;6656;(00000100) (4) (4) (04)    ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(00000101) (5) (5) (05)   ;(11100101) (345) (229) (E5)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11011001) (331) (217) (D9)   ;
4956
;6664;(11110101) (365) (245) (F5)    ;(00110011) (63) (51) (33)   ;(11010101) (325) (213) (D5)   ;(00110011) (63) (51) (33)   ;(11001101) (315) (205) (CD)   ;(01011001) (131) (89) (59)   ;(00010101) (25) (21) (15)   ;(00100001) (41) (33) (21)   ;
4957
;6672;(00000110) (6) (6) (06)    ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(11111001) (371) (249) (F9)   ;(11000001) (301) (193) (C1)   ;(00000011) (3) (3) (03)   ;(00000011) (3) (3) (03)   ;(11011101) (335) (221) (DD)   ;
4958
;6680;(01101110) (156) (110) (6E)    ;(11111110) (376) (254) (FE)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(11111111) (377) (255) (FF)   ;(01010110) (126) (86) (56)   ;(11000101) (305) (197) (C5)   ;(11011101) (335) (221) (DD)   ;
4959
;6688;(01101110) (156) (110) (6E)    ;(00000110) (6) (6) (06)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(00000111) (7) (7) (07)   ;(11100101) (345) (229) (E5)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;
4960
;6696;(00000100) (4) (4) (04)    ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(00000101) (5) (5) (05)   ;(11100101) (345) (229) (E5)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11011001) (331) (217) (D9)   ;
4961
;6704;(11110101) (365) (245) (F5)    ;(00110011) (63) (51) (33)   ;(11010101) (325) (213) (D5)   ;(00110011) (63) (51) (33)   ;(11001101) (315) (205) (CD)   ;(01011001) (131) (89) (59)   ;(00010101) (25) (21) (15)   ;(00100001) (41) (33) (21)   ;
4962
;6712;(00000110) (6) (6) (06)    ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(11111001) (371) (249) (F9)   ;(11000001) (301) (193) (C1)   ;(00000011) (3) (3) (03)   ;(00000011) (3) (3) (03)   ;(11011101) (335) (221) (DD)   ;
4963
;6720;(01110001) (161) (113) (71)    ;(11100010) (342) (226) (E2)   ;(11011101) (335) (221) (DD)   ;(01110000) (160) (112) (70)   ;(11100011) (343) (227) (E3)   ;(00011000) (30) (24) (18)   ;(01001100) (114) (76) (4C)   ;(11011101) (335) (221) (DD)   ;
4964
;6728;(00110110) (66) (54) (36)    ;(11011101) (335) (221) (DD)   ;(00000001) (1) (1) (01)   ;(11011101) (335) (221) (DD)   ;(00110110) (66) (54) (36)   ;(11010010) (322) (210) (D2)   ;(00001010) (12) (10) (0A)   ;(00011000) (30) (24) (18)   ;
4965
;6736;(01000010) (102) (66) (42)    ;(11011101) (335) (221) (DD)   ;(00110110) (66) (54) (36)   ;(11010010) (322) (210) (D2)   ;(00001000) (10) (8) (08)   ;(00011000) (30) (24) (18)   ;(00111100) (74) (60) (3C)   ;(11011101) (335) (221) (DD)   ;
4966
;6744;(00110110) (66) (54) (36)    ;(11010010) (322) (210) (D2)   ;(00001010) (12) (10) (0A)   ;(00011000) (30) (24) (18)   ;(00110110) (66) (54) (36)   ;(11011101) (335) (221) (DD)   ;(00110110) (66) (54) (36)   ;(11010010) (322) (210) (D2)   ;
4967
;6752;(00010000) (20) (16) (10)    ;(00011000) (30) (24) (18)   ;(00110000) (60) (48) (30)   ;(11011101) (335) (221) (DD)   ;(00110110) (66) (54) (36)   ;(11011010) (332) (218) (DA)   ;(00000001) (1) (1) (01)   ;(00011000) (30) (24) (18)   ;
4968
;6760;(00101010) (52) (42) (2A)    ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(00000110) (6) (6) (06)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(00000111) (7) (7) (07)   ;(11100101) (345) (229) (E5)   ;
4969
;6768;(11011101) (335) (221) (DD)    ;(01111110) (176) (126) (7E)   ;(11110011) (363) (243) (F3)   ;(11110101) (365) (245) (F5)   ;(00110011) (63) (51) (33)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(00000100) (4) (4) (04)   ;
4970
;6776;(11011101) (335) (221) (DD)    ;(01100110) (146) (102) (66)   ;(00000101) (5) (5) (05)   ;(11001101) (315) (205) (CD)   ;(01000101) (105) (69) (45)   ;(00100000) (40) (32) (20)   ;(11110001) (361) (241) (F1)   ;(00110011) (63) (51) (33)   ;
4971
;6784;(11011101) (335) (221) (DD)    ;(00110100) (64) (52) (34)   ;(11100010) (342) (226) (E2)   ;(00100000) (40) (32) (20)   ;(00001110) (16) (14) (0E)   ;(11011101) (335) (221) (DD)   ;(00110100) (64) (52) (34)   ;(11100011) (343) (227) (E3)   ;
4972
;6792;(00011000) (30) (24) (18)    ;(00001001) (11) (9) (09)   ;(11011101) (335) (221) (DD)   ;(01110001) (161) (113) (71)   ;(11100010) (342) (226) (E2)   ;(11011101) (335) (221) (DD)   ;(01110000) (160) (112) (70)   ;(11100011) (343) (227) (E3)   ;
4973
;6800;(11011101) (335) (221) (DD)    ;(01110011) (163) (115) (73)   ;(11110110) (366) (246) (F6)   ;(11011101) (335) (221) (DD)   ;(11001011) (313) (203) (CB)   ;(11011010) (332) (218) (DA)   ;(01000110) (106) (70) (46)   ;(11001010) (312) (202) (CA)   ;
4974
;6808;(01010101) (125) (85) (55)    ;(00011011) (33) (27) (1B)   ;(00100001) (41) (33) (21)   ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(11011101) (335) (221) (DD)   ;(01110101) (165) (117) (75)   ;
4975
;6816;(11110000) (360) (240) (F0)    ;(11011101) (335) (221) (DD)   ;(01110100) (164) (116) (74)   ;(11110001) (361) (241) (F1)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(00001010) (12) (10) (0A)   ;(11000110) (306) (198) (C6)   ;
4976
;6824;(00000100) (4) (4) (04)    ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11110100) (364) (244) (F4)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(00001011) (13) (11) (0B)   ;(11001110) (316) (206) (CE)   ;
4977
;6832;(00000000) (0) (0) (00)    ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11110101) (365) (245) (F5)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110100) (364) (244) (F4)   ;(11011101) (335) (221) (DD)   ;
4978
;6840;(01110111) (167) (119) (77)    ;(00001010) (12) (10) (0A)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110101) (365) (245) (F5)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(00001011) (13) (11) (0B)   ;
4979
;6848;(11011101) (335) (221) (DD)    ;(01111110) (176) (126) (7E)   ;(11110100) (364) (244) (F4)   ;(11000110) (306) (198) (C6)   ;(11111100) (374) (252) (FC)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11110100) (364) (244) (F4)   ;
4980
;6856;(11011101) (335) (221) (DD)    ;(01111110) (176) (126) (7E)   ;(11110101) (365) (245) (F5)   ;(11001110) (316) (206) (CE)   ;(11111111) (377) (255) (FF)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11110101) (365) (245) (F5)   ;
4981
;6864;(11011101) (335) (221) (DD)    ;(01011110) (136) (94) (5E)   ;(11110100) (364) (244) (F4)   ;(11011101) (335) (221) (DD)   ;(01010110) (126) (86) (56)   ;(11110101) (365) (245) (F5)   ;(00100001) (41) (33) (21)   ;(00100010) (42) (34) (22)   ;
4982
;6872;(00000000) (0) (0) (00)    ;(00111001) (71) (57) (39)   ;(11101011) (353) (235) (EB)   ;(00000001) (1) (1) (01)   ;(00000100) (4) (4) (04)   ;(00000000) (0) (0) (00)   ;(11101101) (355) (237) (ED)   ;(10110000) (260) (176) (B0)   ;
4983
;6880;(11011101) (335) (221) (DD)    ;(01011110) (136) (94) (5E)   ;(11110000) (360) (240) (F0)   ;(11011101) (335) (221) (DD)   ;(01010110) (126) (86) (56)   ;(11110001) (361) (241) (F1)   ;(00100001) (41) (33) (21)   ;(00100010) (42) (34) (22)   ;
4984
;6888;(00000000) (0) (0) (00)    ;(00111001) (71) (57) (39)   ;(00000001) (1) (1) (01)   ;(00000100) (4) (4) (04)   ;(00000000) (0) (0) (00)   ;(11101101) (355) (237) (ED)   ;(10110000) (260) (176) (B0)   ;(00100001) (41) (33) (21)   ;
4985
;6896;(00001010) (12) (10) (0A)    ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(11011101) (335) (221) (DD)   ;(01110101) (165) (117) (75)   ;(11101100) (354) (236) (EC)   ;(11011101) (335) (221) (DD)   ;(01110100) (164) (116) (74)   ;
4986
;6904;(11101101) (355) (237) (ED)    ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(11101100) (354) (236) (EC)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(11101101) (355) (237) (ED)   ;(00110110) (66) (54) (36)   ;
4987
;6912;(00111010) (72) (58) (3A)    ;(00100011) (43) (35) (23)   ;(00110110) (66) (54) (36)   ;(00100000) (40) (32) (20)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11100010) (342) (226) (E2)   ;(11011101) (335) (221) (DD)   ;
4988
;6920;(01110111) (167) (119) (77)    ;(11110000) (360) (240) (F0)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11100011) (343) (227) (E3)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11110001) (361) (241) (F1)   ;
4989
;6928;(11011101) (335) (221) (DD)    ;(01101110) (156) (110) (6E)   ;(11101100) (354) (236) (EC)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(11101101) (355) (237) (ED)   ;(01001110) (116) (78) (4E)   ;(00100011) (43) (35) (23)   ;
4990
;6936;(01000110) (106) (70) (46)    ;(01011001) (131) (89) (59)   ;(01010000) (120) (80) (50)   ;(00010011) (23) (19) (13)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(11101100) (354) (236) (EC)   ;(11011101) (335) (221) (DD)   ;
4991
;6944;(01100110) (146) (102) (66)    ;(11101101) (355) (237) (ED)   ;(01110011) (163) (115) (73)   ;(00100011) (43) (35) (23)   ;(01110010) (162) (114) (72)   ;(00001010) (12) (10) (0A)   ;(01000111) (107) (71) (47)   ;(10110111) (267) (183) (B7)   ;
4992
;6952;(11001010) (312) (202) (CA)    ;(01101001) (151) (105) (69)   ;(00010110) (26) (22) (16)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(00000110) (6) (6) (06)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;
4993
;6960;(00000111) (7) (7) (07)    ;(11100101) (345) (229) (E5)   ;(11000101) (305) (197) (C5)   ;(00110011) (63) (51) (33)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(00000100) (4) (4) (04)   ;(11011101) (335) (221) (DD)   ;
4994
;6968;(01100110) (146) (102) (66)    ;(00000101) (5) (5) (05)   ;(11001101) (315) (205) (CD)   ;(01000101) (105) (69) (45)   ;(00100000) (40) (32) (20)   ;(11110001) (361) (241) (F1)   ;(00110011) (63) (51) (33)   ;(11011101) (335) (221) (DD)   ;
4995
;6976;(00110100) (64) (52) (34)    ;(11110000) (360) (240) (F0)   ;(00100000) (40) (32) (20)   ;(00000011) (3) (3) (03)   ;(11011101) (335) (221) (DD)   ;(00110100) (64) (52) (34)   ;(11110001) (361) (241) (F1)   ;(11011101) (335) (221) (DD)   ;
4996
;6984;(01111110) (176) (126) (7E)    ;(11110000) (360) (240) (F0)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11100010) (342) (226) (E2)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110001) (361) (241) (F1)   ;
4997
;6992;(11011101) (335) (221) (DD)    ;(01110111) (167) (119) (77)   ;(11100011) (343) (227) (E3)   ;(00011000) (30) (24) (18)   ;(10111011) (273) (187) (BB)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11010010) (322) (210) (D2)   ;
4998
;7000;(10110111) (267) (183) (B7)    ;(11001010) (312) (202) (CA)   ;(01101001) (151) (105) (69)   ;(00010110) (26) (22) (16)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11111100) (374) (252) (FC)   ;(11011101) (335) (221) (DD)   ;
4999
;7008;(01110111) (167) (119) (77)    ;(11101100) (354) (236) (EC)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11111101) (375) (253) (FD)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11101101) (355) (237) (ED)   ;
5000
;7016;(11011101) (335) (221) (DD)    ;(11001011) (313) (203) (CB)   ;(11011100) (334) (220) (DC)   ;(01000110) (106) (70) (46)   ;(11001010) (312) (202) (CA)   ;(11110011) (363) (243) (F3)   ;(00011011) (33) (27) (1B)   ;(00100001) (41) (33) (21)   ;
5001
;7024;(00001010) (12) (10) (0A)    ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(11011101) (335) (221) (DD)   ;(01110101) (165) (117) (75)   ;(11110000) (360) (240) (F0)   ;(11011101) (335) (221) (DD)   ;(01110100) (164) (116) (74)   ;
5002
;7032;(11110001) (361) (241) (F1)    ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(00001010) (12) (10) (0A)   ;(11000110) (306) (198) (C6)   ;(00000001) (1) (1) (01)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;
5003
;7040;(11110100) (364) (244) (F4)    ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(00001011) (13) (11) (0B)   ;(11001110) (316) (206) (CE)   ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;
5004
;7048;(11110101) (365) (245) (F5)    ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110100) (364) (244) (F4)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(00001010) (12) (10) (0A)   ;(11011101) (335) (221) (DD)   ;
5005
;7056;(01111110) (176) (126) (7E)    ;(11110101) (365) (245) (F5)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(00001011) (13) (11) (0B)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(11110100) (364) (244) (F4)   ;
5006
;7064;(11011101) (335) (221) (DD)    ;(01100110) (146) (102) (66)   ;(11110101) (365) (245) (F5)   ;(00101011) (53) (43) (2B)   ;(01111110) (176) (126) (7E)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11110010) (362) (242) (F2)   ;
5007
;7072;(11011101) (335) (221) (DD)    ;(01110111) (167) (119) (77)   ;(11101000) (350) (232) (E8)   ;(11011101) (335) (221) (DD)   ;(00110110) (66) (54) (36)   ;(11101001) (351) (233) (E9)   ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;
5008
;7080;(00110110) (66) (54) (36)    ;(11101010) (352) (234) (EA)   ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;(00110110) (66) (54) (36)   ;(11101011) (353) (235) (EB)   ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;
5009
;7088;(01011110) (136) (94) (5E)    ;(11110000) (360) (240) (F0)   ;(11011101) (335) (221) (DD)   ;(01010110) (126) (86) (56)   ;(11110001) (361) (241) (F1)   ;(00100001) (41) (33) (21)   ;(00011110) (36) (30) (1E)   ;(00000000) (0) (0) (00)   ;
5010
;7096;(00111001) (71) (57) (39)    ;(00000001) (1) (1) (01)   ;(00000100) (4) (4) (04)   ;(00000000) (0) (0) (00)   ;(11101101) (355) (237) (ED)   ;(10110000) (260) (176) (B0)   ;(11011101) (335) (221) (DD)   ;(11001011) (313) (203) (CB)   ;
5011
;7104;(11011101) (335) (221) (DD)    ;(01000110) (106) (70) (46)   ;(11000010) (302) (194) (C2)   ;(11110010) (362) (242) (F2)   ;(00011100) (34) (28) (1C)   ;(11011101) (335) (221) (DD)   ;(01011110) (136) (94) (5E)   ;(11110000) (360) (240) (F0)   ;
5012
;7112;(11011101) (335) (221) (DD)    ;(01010110) (126) (86) (56)   ;(11110001) (361) (241) (F1)   ;(00100001) (41) (33) (21)   ;(00011110) (36) (30) (1E)   ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(11101011) (353) (235) (EB)   ;
5013
;7120;(00000001) (1) (1) (01)    ;(00000100) (4) (4) (04)   ;(00000000) (0) (0) (00)   ;(11101101) (355) (237) (ED)   ;(10110000) (260) (176) (B0)   ;(11011101) (335) (221) (DD)   ;(00110110) (66) (54) (36)   ;(11101001) (351) (233) (E9)   ;
5014
;7128;(00000000) (0) (0) (00)    ;(11011101) (335) (221) (DD)   ;(00110110) (66) (54) (36)   ;(11101010) (352) (234) (EA)   ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;(00110110) (66) (54) (36)   ;(11101011) (353) (235) (EB)   ;
5015
;7136;(00000000) (0) (0) (00)    ;(11011101) (335) (221) (DD)   ;(01011110) (136) (94) (5E)   ;(11110000) (360) (240) (F0)   ;(11011101) (335) (221) (DD)   ;(01010110) (126) (86) (56)   ;(11110001) (361) (241) (F1)   ;(00100001) (41) (33) (21)   ;
5016
;7144;(00011110) (36) (30) (1E)    ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(00000001) (1) (1) (01)   ;(00000100) (4) (4) (04)   ;(00000000) (0) (0) (00)   ;(11101101) (355) (237) (ED)   ;(10110000) (260) (176) (B0)   ;
5017
;7152;(11000011) (303) (195) (C3)    ;(11110010) (362) (242) (F2)   ;(00011100) (34) (28) (1C)   ;(11011101) (335) (221) (DD)   ;(11001011) (313) (203) (CB)   ;(11011011) (333) (219) (DB)   ;(01000110) (106) (70) (46)   ;(00101000) (50) (40) (28)   ;
5018
;7160;(01011000) (130) (88) (58)    ;(00100001) (41) (33) (21)   ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(11011101) (335) (221) (DD)   ;(01110101) (165) (117) (75)   ;(11101000) (350) (232) (E8)   ;
5019
;7168;(11011101) (335) (221) (DD)    ;(01110100) (164) (116) (74)   ;(11101001) (351) (233) (E9)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(00001010) (12) (10) (0A)   ;(11000110) (306) (198) (C6)   ;(00000100) (4) (4) (04)   ;
5020
;7176;(11011101) (335) (221) (DD)    ;(01110111) (167) (119) (77)   ;(11110000) (360) (240) (F0)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(00001011) (13) (11) (0B)   ;(11001110) (316) (206) (CE)   ;(00000000) (0) (0) (00)   ;
5021
;7184;(11011101) (335) (221) (DD)    ;(01110111) (167) (119) (77)   ;(11110001) (361) (241) (F1)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110000) (360) (240) (F0)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;
5022
;7192;(00001010) (12) (10) (0A)    ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110001) (361) (241) (F1)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(00001011) (13) (11) (0B)   ;(11011101) (335) (221) (DD)   ;
5023
;7200;(01111110) (176) (126) (7E)    ;(11110000) (360) (240) (F0)   ;(11000110) (306) (198) (C6)   ;(11111100) (374) (252) (FC)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11110000) (360) (240) (F0)   ;(11011101) (335) (221) (DD)   ;
5024
;7208;(01111110) (176) (126) (7E)    ;(11110001) (361) (241) (F1)   ;(11001110) (316) (206) (CE)   ;(11111111) (377) (255) (FF)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11110001) (361) (241) (F1)   ;(11011101) (335) (221) (DD)   ;
5025
;7216;(01011110) (136) (94) (5E)    ;(11110000) (360) (240) (F0)   ;(11011101) (335) (221) (DD)   ;(01010110) (126) (86) (56)   ;(11110001) (361) (241) (F1)   ;(00100001) (41) (33) (21)   ;(00011010) (32) (26) (1A)   ;(00000000) (0) (0) (00)   ;
5026
;7224;(00111001) (71) (57) (39)    ;(11101011) (353) (235) (EB)   ;(00000001) (1) (1) (01)   ;(00000100) (4) (4) (04)   ;(00000000) (0) (0) (00)   ;(11101101) (355) (237) (ED)   ;(10110000) (260) (176) (B0)   ;(11011101) (335) (221) (DD)   ;
5027
;7232;(01011110) (136) (94) (5E)    ;(11101000) (350) (232) (E8)   ;(11011101) (335) (221) (DD)   ;(01010110) (126) (86) (56)   ;(11101001) (351) (233) (E9)   ;(00100001) (41) (33) (21)   ;(00011010) (32) (26) (1A)   ;(00000000) (0) (0) (00)   ;
5028
;7240;(00111001) (71) (57) (39)    ;(00000001) (1) (1) (01)   ;(00000100) (4) (4) (04)   ;(00000000) (0) (0) (00)   ;(11101101) (355) (237) (ED)   ;(10110000) (260) (176) (B0)   ;(11000011) (303) (195) (C3)   ;(11110010) (362) (242) (F2)   ;
5029
;7248;(00011100) (34) (28) (1C)    ;(00100001) (41) (33) (21)   ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(11011101) (335) (221) (DD)   ;(01110101) (165) (117) (75)   ;(11100100) (344) (228) (E4)   ;
5030
;7256;(11011101) (335) (221) (DD)    ;(01110100) (164) (116) (74)   ;(11100101) (345) (229) (E5)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(00001010) (12) (10) (0A)   ;(11000110) (306) (198) (C6)   ;(00000010) (2) (2) (02)   ;
5031
;7264;(11011101) (335) (221) (DD)    ;(01110111) (167) (119) (77)   ;(11101000) (350) (232) (E8)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(00001011) (13) (11) (0B)   ;(11001110) (316) (206) (CE)   ;(00000000) (0) (0) (00)   ;
5032
;7272;(11011101) (335) (221) (DD)    ;(01110111) (167) (119) (77)   ;(11101001) (351) (233) (E9)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11101000) (350) (232) (E8)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;
5033
;7280;(00001010) (12) (10) (0A)    ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11101001) (351) (233) (E9)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(00001011) (13) (11) (0B)   ;(11011101) (335) (221) (DD)   ;
5034
;7288;(01111110) (176) (126) (7E)    ;(11101000) (350) (232) (E8)   ;(11000110) (306) (198) (C6)   ;(11111110) (376) (254) (FE)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11101000) (350) (232) (E8)   ;(11011101) (335) (221) (DD)   ;
5035
;7296;(01111110) (176) (126) (7E)    ;(11101001) (351) (233) (E9)   ;(11001110) (316) (206) (CE)   ;(11111111) (377) (255) (FF)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11101001) (351) (233) (E9)   ;(11011101) (335) (221) (DD)   ;
5036
;7304;(01111110) (176) (126) (7E)    ;(11101000) (350) (232) (E8)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11101000) (350) (232) (E8)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11101001) (351) (233) (E9)   ;
5037
;7312;(11011101) (335) (221) (DD)    ;(01110111) (167) (119) (77)   ;(11101001) (351) (233) (E9)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(11101000) (350) (232) (E8)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;
5038
;7320;(11101001) (351) (233) (E9)    ;(01111110) (176) (126) (7E)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11101000) (350) (232) (E8)   ;(00100011) (43) (35) (23)   ;(01111110) (176) (126) (7E)   ;(11011101) (335) (221) (DD)   ;
5039
;7328;(01110111) (167) (119) (77)    ;(11101001) (351) (233) (E9)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11101000) (350) (232) (E8)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11101000) (350) (232) (E8)   ;
5040
;7336;(11011101) (335) (221) (DD)    ;(01111110) (176) (126) (7E)   ;(11101001) (351) (233) (E9)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11101001) (351) (233) (E9)   ;(00010111) (27) (23) (17)   ;(10011111) (237) (159) (9F)   ;
5041
;7344;(11011101) (335) (221) (DD)    ;(01110111) (167) (119) (77)   ;(11101010) (352) (234) (EA)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11101011) (353) (235) (EB)   ;(11011101) (335) (221) (DD)   ;(01011110) (136) (94) (5E)   ;
5042
;7352;(11100100) (344) (228) (E4)    ;(11011101) (335) (221) (DD)   ;(01010110) (126) (86) (56)   ;(11100101) (345) (229) (E5)   ;(00100001) (41) (33) (21)   ;(00011110) (36) (30) (1E)   ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;
5043
;7360;(00000001) (1) (1) (01)    ;(00000100) (4) (4) (04)   ;(00000000) (0) (0) (00)   ;(11101101) (355) (237) (ED)   ;(10110000) (260) (176) (B0)   ;(11011101) (335) (221) (DD)   ;(11001011) (313) (203) (CB)   ;(11011101) (335) (221) (DD)   ;
5044
;7368;(01000110) (106) (70) (46)    ;(00100000) (40) (32) (20)   ;(00100111) (47) (39) (27)   ;(11011101) (335) (221) (DD)   ;(01011110) (136) (94) (5E)   ;(11100100) (344) (228) (E4)   ;(11011101) (335) (221) (DD)   ;(01010110) (126) (86) (56)   ;
5045
;7376;(11100101) (345) (229) (E5)    ;(00100001) (41) (33) (21)   ;(00011110) (36) (30) (1E)   ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(11101011) (353) (235) (EB)   ;(00000001) (1) (1) (01)   ;(00000100) (4) (4) (04)   ;
5046
;7384;(00000000) (0) (0) (00)    ;(11101101) (355) (237) (ED)   ;(10110000) (260) (176) (B0)   ;(11011101) (335) (221) (DD)   ;(00110110) (66) (54) (36)   ;(11101010) (352) (234) (EA)   ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;
5047
;7392;(00110110) (66) (54) (36)    ;(11101011) (353) (235) (EB)   ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;(01011110) (136) (94) (5E)   ;(11100100) (344) (228) (E4)   ;(11011101) (335) (221) (DD)   ;(01010110) (126) (86) (56)   ;
5048
;7400;(11100101) (345) (229) (E5)    ;(00100001) (41) (33) (21)   ;(00011110) (36) (30) (1E)   ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(00000001) (1) (1) (01)   ;(00000100) (4) (4) (04)   ;(00000000) (0) (0) (00)   ;
5049
;7408;(11101101) (355) (237) (ED)    ;(10110000) (260) (176) (B0)   ;(11011101) (335) (221) (DD)   ;(11001011) (313) (203) (CB)   ;(11011101) (335) (221) (DD)   ;(01000110) (106) (70) (46)   ;(00101000) (50) (40) (28)   ;(01001010) (112) (74) (4A)   ;
5050
;7416;(00100001) (41) (33) (21)    ;(00001010) (12) (10) (0A)   ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(11011101) (335) (221) (DD)   ;(01110101) (165) (117) (75)   ;(11100100) (344) (228) (E4)   ;(11011101) (335) (221) (DD)   ;
5051
;7424;(01110100) (164) (116) (74)    ;(11100101) (345) (229) (E5)   ;(11101011) (353) (235) (EB)   ;(00100001) (41) (33) (21)   ;(00011110) (36) (30) (1E)   ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(11101011) (353) (235) (EB)   ;
5052
;7432;(00000001) (1) (1) (01)    ;(00000100) (4) (4) (04)   ;(00000000) (0) (0) (00)   ;(11101101) (355) (237) (ED)   ;(10110000) (260) (176) (B0)   ;(11011101) (335) (221) (DD)   ;(11001011) (313) (203) (CB)   ;(11101011) (353) (235) (EB)   ;
5053
;7440;(01111110) (176) (126) (7E)    ;(00101000) (50) (40) (28)   ;(00101011) (53) (43) (2B)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(11100100) (344) (228) (E4)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;
5054
;7448;(11100101) (345) (229) (E5)    ;(01001110) (116) (78) (4E)   ;(00100011) (43) (35) (23)   ;(01000110) (106) (70) (46)   ;(00100011) (43) (35) (23)   ;(01011110) (136) (94) (5E)   ;(00100011) (43) (35) (23)   ;(01010110) (126) (86) (56)   ;
5055
;7456;(10101111) (257) (175) (AF)    ;(10010001) (221) (145) (91)   ;(01001111) (117) (79) (4F)   ;(00111110) (76) (62) (3E)   ;(00000000) (0) (0) (00)   ;(10011000) (230) (152) (98)   ;(01000111) (107) (71) (47)   ;(00111110) (76) (62) (3E)   ;
5056
;7464;(00000000) (0) (0) (00)    ;(10011011) (233) (155) (9B)   ;(01011111) (137) (95) (5F)   ;(00111110) (76) (62) (3E)   ;(00000000) (0) (0) (00)   ;(10011010) (232) (154) (9A)   ;(01010111) (127) (87) (57)   ;(11011101) (335) (221) (DD)   ;
5057
;7472;(01101110) (156) (110) (6E)    ;(11100100) (344) (228) (E4)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(11100101) (345) (229) (E5)   ;(01110001) (161) (113) (71)   ;(00100011) (43) (35) (23)   ;(01110000) (160) (112) (70)   ;
5058
;7480;(00100011) (43) (35) (23)    ;(01110011) (163) (115) (73)   ;(00100011) (43) (35) (23)   ;(01110010) (162) (114) (72)   ;(00011000) (30) (24) (18)   ;(00000100) (4) (4) (04)   ;(11011101) (335) (221) (DD)   ;(00110110) (66) (54) (36)   ;
5059
;7488;(11011101) (335) (221) (DD)    ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;(00110110) (66) (54) (36)   ;(11010011) (323) (211) (D3)   ;(00000001) (1) (1) (01)   ;(11011101) (335) (221) (DD)   ;(01001110) (116) (78) (4E)   ;
5060
;7496;(11101100) (354) (236) (EC)    ;(11011101) (335) (221) (DD)   ;(01000110) (106) (70) (46)   ;(11101101) (355) (237) (ED)   ;(11011101) (335) (221) (DD)   ;(00110110) (66) (54) (36)   ;(11010000) (320) (208) (D0)   ;(00000000) (0) (0) (00)   ;
5061
;7504;(00100001) (41) (33) (21)    ;(00001110) (16) (14) (0E)   ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(00110110) (66) (54) (36)   ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;(01011110) (136) (94) (5E)   ;
5062
;7512;(11111010) (372) (250) (FA)    ;(11011101) (335) (221) (DD)   ;(01010110) (126) (86) (56)   ;(11111011) (373) (251) (FB)   ;(11000101) (305) (197) (C5)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11010010) (322) (210) (D2)   ;
5063
;7520;(11110101) (365) (245) (F5)    ;(00110011) (63) (51) (33)   ;(11010101) (325) (213) (D5)   ;(11001101) (315) (205) (CD)   ;(10101110) (256) (174) (AE)   ;(00010101) (25) (21) (15)   ;(11110001) (361) (241) (F1)   ;(00110011) (63) (51) (33)   ;
5064
;7528;(11000001) (301) (193) (C1)    ;(11011101) (335) (221) (DD)   ;(11001011) (313) (203) (CB)   ;(11010011) (323) (211) (D3)   ;(01000110) (106) (70) (46)   ;(00100000) (40) (32) (20)   ;(00100011) (43) (35) (23)   ;(11011101) (335) (221) (DD)   ;
5065
;7536;(01101110) (156) (110) (6E)    ;(11111000) (370) (248) (F8)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(11111001) (371) (249) (F9)   ;(01111110) (176) (126) (7E)   ;(00000111) (7) (7) (07)   ;(00000111) (7) (7) (07)   ;
5066
;7544;(00000111) (7) (7) (07)    ;(00000111) (7) (7) (07)   ;(11100110) (346) (230) (E6)   ;(11110000) (360) (240) (F0)   ;(01011111) (137) (95) (5F)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(11111000) (370) (248) (F8)   ;
5067
;7552;(11011101) (335) (221) (DD)    ;(01100110) (146) (102) (66)   ;(11111001) (371) (249) (F9)   ;(01111110) (176) (126) (7E)   ;(00000111) (7) (7) (07)   ;(00000111) (7) (7) (07)   ;(00000111) (7) (7) (07)   ;(00000111) (7) (7) (07)   ;
5068
;7560;(11100110) (346) (230) (E6)    ;(00001111) (17) (15) (0F)   ;(10110011) (263) (179) (B3)   ;(01011111) (137) (95) (5F)   ;(00001010) (12) (10) (0A)   ;(10110011) (263) (179) (B3)   ;(00000010) (2) (2) (02)   ;(00001011) (13) (11) (0B)   ;
5069
;7568;(00011000) (30) (24) (18)    ;(00001000) (10) (8) (08)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(11111000) (370) (248) (F8)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(11111001) (371) (249) (F9)   ;
5070
;7576;(01111110) (176) (126) (7E)    ;(00000010) (2) (2) (02)   ;(11011101) (335) (221) (DD)   ;(00110100) (64) (52) (34)   ;(11010000) (320) (208) (D0)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11010011) (323) (211) (D3)   ;
5071
;7584;(11101110) (356) (238) (EE)    ;(00000001) (1) (1) (01)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11010011) (323) (211) (D3)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(11111010) (372) (250) (FA)   ;
5072
;7592;(11011101) (335) (221) (DD)    ;(01100110) (146) (102) (66)   ;(11111011) (373) (251) (FB)   ;(01011110) (136) (94) (5E)   ;(00100011) (43) (35) (23)   ;(01010110) (126) (86) (56)   ;(00100011) (43) (35) (23)   ;(00100011) (43) (35) (23)   ;
5073
;7600;(01111110) (176) (126) (7E)    ;(00101011) (53) (43) (2B)   ;(01101110) (156) (110) (6E)   ;(10110101) (265) (181) (B5)   ;(10110010) (262) (178) (B2)   ;(10110011) (263) (179) (B3)   ;(00100000) (40) (32) (20)   ;(10011000) (230) (152) (98)   ;
5074
;7608;(11011101) (335) (221) (DD)    ;(01110001) (161) (113) (71)   ;(11100100) (344) (228) (E4)   ;(11011101) (335) (221) (DD)   ;(01110000) (160) (112) (70)   ;(11100101) (345) (229) (E5)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;
5075
;7616;(11010000) (320) (208) (D0)    ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11101000) (350) (232) (E8)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110110) (366) (246) (F6)   ;(10110111) (267) (183) (B7)   ;
5076
;7624;(00100000) (40) (32) (20)    ;(00000100) (4) (4) (04)   ;(11011101) (335) (221) (DD)   ;(00110110) (66) (54) (36)   ;(11110110) (366) (246) (F6)   ;(00000001) (1) (1) (01)   ;(11011101) (335) (221) (DD)   ;(11001011) (313) (203) (CB)   ;
5077
;7632;(11100000) (340) (224) (E0)    ;(01000110) (106) (70) (46)   ;(00100000) (40) (32) (20)   ;(01010100) (124) (84) (54)   ;(11011101) (335) (221) (DD)   ;(11001011) (313) (203) (CB)   ;(11100001) (341) (225) (E1)   ;(01000110) (106) (70) (46)   ;
5078
;7640;(00100000) (40) (32) (20)    ;(01001110) (116) (78) (4E)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11101000) (350) (232) (E8)   ;(00111100) (74) (60) (3C)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;
5079
;7648;(11101100) (354) (236) (EC)    ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11100010) (342) (226) (E2)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11110000) (360) (240) (F0)   ;(11011101) (335) (221) (DD)   ;
5080
;7656;(01111110) (176) (126) (7E)    ;(11100011) (343) (227) (E3)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11110001) (361) (241) (F1)   ;(11011101) (335) (221) (DD)   ;(01001110) (116) (78) (4E)   ;(11110110) (366) (246) (F6)   ;
5081
;7664;(11011101) (335) (221) (DD)    ;(01111110) (176) (126) (7E)   ;(11101100) (354) (236) (EC)   ;(10010001) (221) (145) (91)   ;(00110000) (60) (48) (30)   ;(00100011) (43) (35) (23)   ;(11000101) (305) (197) (C5)   ;(11011101) (335) (221) (DD)   ;
5082
;7672;(01101110) (156) (110) (6E)    ;(00000110) (6) (6) (06)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(00000111) (7) (7) (07)   ;(11100101) (345) (229) (E5)   ;(00111110) (76) (62) (3E)   ;(00100000) (40) (32) (20)   ;
5083
;7680;(11110101) (365) (245) (F5)    ;(00110011) (63) (51) (33)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(00000100) (4) (4) (04)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(00000101) (5) (5) (05)   ;
5084
;7688;(11001101) (315) (205) (CD)    ;(01000101) (105) (69) (45)   ;(00100000) (40) (32) (20)   ;(11110001) (361) (241) (F1)   ;(00110011) (63) (51) (33)   ;(11000001) (301) (193) (C1)   ;(11011101) (335) (221) (DD)   ;(00110100) (64) (52) (34)   ;
5085
;7696;(11110000) (360) (240) (F0)    ;(00100000) (40) (32) (20)   ;(00000011) (3) (3) (03)   ;(11011101) (335) (221) (DD)   ;(00110100) (64) (52) (34)   ;(11110001) (361) (241) (F1)   ;(00001101) (15) (13) (0D)   ;(00011000) (30) (24) (18)   ;
5086
;7704;(11010111) (327) (215) (D7)    ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110000) (360) (240) (F0)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11100010) (342) (226) (E2)   ;(11011101) (335) (221) (DD)   ;
5087
;7712;(01111110) (176) (126) (7E)    ;(11110001) (361) (241) (F1)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11100011) (343) (227) (E3)   ;(11011101) (335) (221) (DD)   ;(01110001) (161) (113) (71)   ;(11110110) (366) (246) (F6)   ;
5088
;7720;(11011101) (335) (221) (DD)    ;(11001011) (313) (203) (CB)   ;(11011101) (335) (221) (DD)   ;(01000110) (106) (70) (46)   ;(00101000) (50) (40) (28)   ;(00100011) (43) (35) (23)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;
5089
;7728;(00000110) (6) (6) (06)    ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(00000111) (7) (7) (07)   ;(11100101) (345) (229) (E5)   ;(00111110) (76) (62) (3E)   ;(00101101) (55) (45) (2D)   ;(11110101) (365) (245) (F5)   ;
5090
;7736;(00110011) (63) (51) (33)    ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(00000100) (4) (4) (04)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(00000101) (5) (5) (05)   ;(11001101) (315) (205) (CD)   ;
5091
;7744;(01000101) (105) (69) (45)    ;(00100000) (40) (32) (20)   ;(11110001) (361) (241) (F1)   ;(00110011) (63) (51) (33)   ;(11011101) (335) (221) (DD)   ;(00110100) (64) (52) (34)   ;(11100010) (342) (226) (E2)   ;(00100000) (40) (32) (20)   ;
5092
;7752;(00000011) (3) (3) (03)    ;(11011101) (335) (221) (DD)   ;(00110100) (64) (52) (34)   ;(11100011) (343) (227) (E3)   ;(11011101) (335) (221) (DD)   ;(00110101) (65) (53) (35)   ;(11110110) (366) (246) (F6)   ;(00011000) (30) (24) (18)   ;
5093
;7760;(01010110) (126) (86) (56)    ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11101000) (350) (232) (E8)   ;(10110111) (267) (183) (B7)   ;(00101000) (50) (40) (28)   ;(01010000) (120) (80) (50)   ;(11011101) (335) (221) (DD)   ;
5094
;7768;(11001011) (313) (203) (CB)    ;(11011111) (337) (223) (DF)   ;(01000110) (106) (70) (46)   ;(00101000) (50) (40) (28)   ;(00100011) (43) (35) (23)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(00000110) (6) (6) (06)   ;
5095
;7776;(11011101) (335) (221) (DD)    ;(01100110) (146) (102) (66)   ;(00000111) (7) (7) (07)   ;(11100101) (345) (229) (E5)   ;(00111110) (76) (62) (3E)   ;(00101011) (53) (43) (2B)   ;(11110101) (365) (245) (F5)   ;(00110011) (63) (51) (33)   ;
5096
;7784;(11011101) (335) (221) (DD)    ;(01101110) (156) (110) (6E)   ;(00000100) (4) (4) (04)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(00000101) (5) (5) (05)   ;(11001101) (315) (205) (CD)   ;(01000101) (105) (69) (45)   ;
5097
;7792;(00100000) (40) (32) (20)    ;(11110001) (361) (241) (F1)   ;(00110011) (63) (51) (33)   ;(11011101) (335) (221) (DD)   ;(00110100) (64) (52) (34)   ;(11100010) (342) (226) (E2)   ;(00100000) (40) (32) (20)   ;(00000011) (3) (3) (03)   ;
5098
;7800;(11011101) (335) (221) (DD)    ;(00110100) (64) (52) (34)   ;(11100011) (343) (227) (E3)   ;(11011101) (335) (221) (DD)   ;(00110101) (65) (53) (35)   ;(11110110) (366) (246) (F6)   ;(00011000) (30) (24) (18)   ;(00100111) (47) (39) (27)   ;
5099
;7808;(11011101) (335) (221) (DD)    ;(11001011) (313) (203) (CB)   ;(11011110) (336) (222) (DE)   ;(01000110) (106) (70) (46)   ;(00101000) (50) (40) (28)   ;(00100001) (41) (33) (21)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;
5100
;7816;(00000110) (6) (6) (06)    ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(00000111) (7) (7) (07)   ;(11100101) (345) (229) (E5)   ;(00111110) (76) (62) (3E)   ;(00100000) (40) (32) (20)   ;(11110101) (365) (245) (F5)   ;
5101
;7824;(00110011) (63) (51) (33)    ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(00000100) (4) (4) (04)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(00000101) (5) (5) (05)   ;(11001101) (315) (205) (CD)   ;
5102
;7832;(01000101) (105) (69) (45)    ;(00100000) (40) (32) (20)   ;(11110001) (361) (241) (F1)   ;(00110011) (63) (51) (33)   ;(11011101) (335) (221) (DD)   ;(00110100) (64) (52) (34)   ;(11100010) (342) (226) (E2)   ;(00100000) (40) (32) (20)   ;
5103
;7840;(00000011) (3) (3) (03)    ;(11011101) (335) (221) (DD)   ;(00110100) (64) (52) (34)   ;(11100011) (343) (227) (E3)   ;(11011101) (335) (221) (DD)   ;(00110101) (65) (53) (35)   ;(11110110) (366) (246) (F6)   ;(11011101) (335) (221) (DD)   ;
5104
;7848;(11001011) (313) (203) (CB)    ;(11100001) (341) (225) (E1)   ;(01000110) (106) (70) (46)   ;(00100000) (40) (32) (20)   ;(01010111) (127) (87) (57)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11100010) (342) (226) (E2)   ;
5105
;7856;(11011101) (335) (221) (DD)    ;(01110111) (167) (119) (77)   ;(11101100) (354) (236) (EC)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11100011) (343) (227) (E3)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;
5106
;7864;(11101101) (355) (237) (ED)    ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110110) (366) (246) (F6)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11110000) (360) (240) (F0)   ;(11011101) (335) (221) (DD)   ;
5107
;7872;(01001110) (116) (78) (4E)    ;(11110000) (360) (240) (F0)   ;(11011101) (335) (221) (DD)   ;(00110101) (65) (53) (35)   ;(11110000) (360) (240) (F0)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11101000) (350) (232) (E8)   ;
5108
;7880;(10010001) (221) (145) (91)    ;(00110000) (60) (48) (30)   ;(01010010) (122) (82) (52)   ;(11011101) (335) (221) (DD)   ;(11001011) (313) (203) (CB)   ;(11100000) (340) (224) (E0)   ;(01000110) (106) (70) (46)   ;(00101000) (50) (40) (28)   ;
5109
;7888;(00001010) (12) (10) (0A)    ;(11011101) (335) (221) (DD)   ;(00110110) (66) (54) (36)   ;(11110100) (364) (244) (F4)   ;(00110000) (60) (48) (30)   ;(11011101) (335) (221) (DD)   ;(00110110) (66) (54) (36)   ;(11110101) (365) (245) (F5)   ;
5110
;7896;(00000000) (0) (0) (00)    ;(00011000) (30) (24) (18)   ;(00001000) (10) (8) (08)   ;(11011101) (335) (221) (DD)   ;(00110110) (66) (54) (36)   ;(11110100) (364) (244) (F4)   ;(00100000) (40) (32) (20)   ;(11011101) (335) (221) (DD)   ;
5111
;7904;(00110110) (66) (54) (36)    ;(11110101) (365) (245) (F5)   ;(00000000) (0) (0) (00)   ;(11011101) (335) (221) (DD)   ;(01000110) (106) (70) (46)   ;(11110100) (364) (244) (F4)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;
5112
;7912;(00000110) (6) (6) (06)    ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(00000111) (7) (7) (07)   ;(11100101) (345) (229) (E5)   ;(11000101) (305) (197) (C5)   ;(00110011) (63) (51) (33)   ;(11011101) (335) (221) (DD)   ;
5113
;7920;(01101110) (156) (110) (6E)    ;(00000100) (4) (4) (04)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(00000101) (5) (5) (05)   ;(11001101) (315) (205) (CD)   ;(01000101) (105) (69) (45)   ;(00100000) (40) (32) (20)   ;
5114
;7928;(11110001) (361) (241) (F1)    ;(00110011) (63) (51) (33)   ;(11011101) (335) (221) (DD)   ;(00110100) (64) (52) (34)   ;(11101100) (354) (236) (EC)   ;(00100000) (40) (32) (20)   ;(11000000) (300) (192) (C0)   ;(11011101) (335) (221) (DD)   ;
5115
;7936;(00110100) (64) (52) (34)    ;(11101101) (355) (237) (ED)   ;(00011000) (30) (24) (18)   ;(10111011) (273) (187) (BB)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11101000) (350) (232) (E8)   ;(11011101) (335) (221) (DD)   ;
5116
;7944;(10010110) (226) (150) (96)    ;(11110110) (366) (246) (F6)   ;(00110000) (60) (48) (30)   ;(00001011) (13) (11) (0B)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110110) (366) (246) (F6)   ;(11011101) (335) (221) (DD)   ;
5117
;7952;(10010110) (226) (150) (96)    ;(11101000) (350) (232) (E8)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11110010) (362) (242) (F2)   ;(00011000) (30) (24) (18)   ;(00011000) (30) (24) (18)   ;(11011101) (335) (221) (DD)   ;
5118
;7960;(00110110) (66) (54) (36)    ;(11110010) (362) (242) (F2)   ;(00000000) (0) (0) (00)   ;(00011000) (30) (24) (18)   ;(00010010) (22) (18) (12)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11101100) (354) (236) (EC)   ;
5119
;7968;(11011101) (335) (221) (DD)    ;(01110111) (167) (119) (77)   ;(11100010) (342) (226) (E2)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11101101) (355) (237) (ED)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;
5120
;7976;(11100011) (343) (227) (E3)    ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110000) (360) (240) (F0)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11110010) (362) (242) (F2)   ;(11011101) (335) (221) (DD)   ;
5121
;7984;(01111110) (176) (126) (7E)    ;(11100100) (344) (228) (E4)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11100100) (344) (228) (E4)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11100101) (345) (229) (E5)   ;
5122
;7992;(11011101) (335) (221) (DD)    ;(01110111) (167) (119) (77)   ;(11100101) (345) (229) (E5)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11100010) (342) (226) (E2)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;
5123
;8000;(11101100) (354) (236) (EC)    ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11100011) (343) (227) (E3)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11101101) (355) (237) (ED)   ;(11011101) (335) (221) (DD)   ;
5124
;8008;(01111110) (176) (126) (7E)    ;(11101000) (350) (232) (E8)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11101000) (350) (232) (E8)   ;(11011101) (335) (221) (DD)   ;(01001110) (116) (78) (4E)   ;(11101000) (350) (232) (E8)   ;
5125
;8016;(11011101) (335) (221) (DD)    ;(00110101) (65) (53) (35)   ;(11101000) (350) (232) (E8)   ;(01111001) (171) (121) (79)   ;(10110111) (267) (183) (B7)   ;(00101000) (50) (40) (28)   ;(01101010) (152) (106) (6A)   ;(11011101) (335) (221) (DD)   ;
5126
;8024;(01111110) (176) (126) (7E)    ;(11010011) (323) (211) (D3)   ;(11101110) (356) (238) (EE)   ;(00000001) (1) (1) (01)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11010011) (323) (211) (D3)   ;(11011101) (335) (221) (DD)   ;
5127
;8032;(11001011) (313) (203) (CB)    ;(11010011) (323) (211) (D3)   ;(01000110) (106) (70) (46)   ;(00100000) (40) (32) (20)   ;(00011110) (36) (30) (1E)   ;(11011101) (335) (221) (DD)   ;(00110100) (64) (52) (34)   ;(11100100) (344) (228) (E4)   ;
5128
;8040;(00100000) (40) (32) (20)    ;(00000011) (3) (3) (03)   ;(11011101) (335) (221) (DD)   ;(00110100) (64) (52) (34)   ;(11100101) (345) (229) (E5)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(11100100) (344) (228) (E4)   ;
5129
;8048;(11011101) (335) (221) (DD)    ;(01100110) (146) (102) (66)   ;(11100101) (345) (229) (E5)   ;(01111110) (176) (126) (7E)   ;(00000111) (7) (7) (07)   ;(00000111) (7) (7) (07)   ;(00000111) (7) (7) (07)   ;(00000111) (7) (7) (07)   ;
5130
;8056;(11100110) (346) (230) (E6)    ;(00001111) (17) (15) (0F)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(11111000) (370) (248) (F8)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(11111001) (371) (249) (F9)   ;
5131
;8064;(01110111) (167) (119) (77)    ;(00011000) (30) (24) (18)   ;(00010000) (20) (16) (10)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(11100100) (344) (228) (E4)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;
5132
;8072;(11100101) (345) (229) (E5)    ;(01111110) (176) (126) (7E)   ;(11100110) (346) (230) (E6)   ;(00001111) (17) (15) (0F)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(11111000) (370) (248) (F8)   ;(11011101) (335) (221) (DD)   ;
5133
;8080;(01100110) (146) (102) (66)    ;(11111001) (371) (249) (F9)   ;(01110111) (167) (119) (77)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(11111000) (370) (248) (F8)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;
5134
;8088;(11111001) (371) (249) (F9)    ;(01000110) (106) (70) (46)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(00000110) (6) (6) (06)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(00000111) (7) (7) (07)   ;
5135
;8096;(11100101) (345) (229) (E5)    ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(00000100) (4) (4) (04)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(00000101) (5) (5) (05)   ;(11100101) (345) (229) (E5)   ;
5136
;8104;(11011101) (335) (221) (DD)    ;(01111110) (176) (126) (7E)   ;(11011001) (331) (217) (D9)   ;(11110101) (365) (245) (F5)   ;(00110011) (63) (51) (33)   ;(11000101) (305) (197) (C5)   ;(00110011) (63) (51) (33)   ;(11001101) (315) (205) (CD)   ;
5137
;8112;(00100011) (43) (35) (23)    ;(00010101) (25) (21) (15)   ;(00100001) (41) (33) (21)   ;(00000110) (6) (6) (06)   ;(00000000) (0) (0) (00)   ;(00111001) (71) (57) (39)   ;(11111001) (371) (249) (F9)   ;(11011101) (335) (221) (DD)   ;
5138
;8120;(00110100) (64) (52) (34)    ;(11101100) (354) (236) (EC)   ;(00100000) (40) (32) (20)   ;(10010001) (221) (145) (91)   ;(11011101) (335) (221) (DD)   ;(00110100) (64) (52) (34)   ;(11101101) (355) (237) (ED)   ;(00011000) (30) (24) (18)   ;
5139
;8128;(10001100) (214) (140) (8C)    ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11101100) (354) (236) (EC)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11100010) (342) (226) (E2)   ;(11011101) (335) (221) (DD)   ;
5140
;8136;(01111110) (176) (126) (7E)    ;(11101101) (355) (237) (ED)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11100011) (343) (227) (E3)   ;(11011101) (335) (221) (DD)   ;(11001011) (313) (203) (CB)   ;(11100001) (341) (225) (E1)   ;
5141
;8144;(01000110) (106) (70) (46)    ;(11001010) (312) (202) (CA)   ;(01101001) (151) (105) (69)   ;(00010110) (26) (22) (16)   ;(11011101) (335) (221) (DD)   ;(01001110) (116) (78) (4E)   ;(11101100) (354) (236) (EC)   ;(11011101) (335) (221) (DD)   ;
5142
;8152;(01000110) (106) (70) (46)    ;(11101101) (355) (237) (ED)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110010) (362) (242) (F2)   ;(11011101) (335) (221) (DD)   ;(01110111) (167) (119) (77)   ;(11100100) (344) (228) (E4)   ;
5143
;8160;(11011101) (335) (221) (DD)    ;(01011110) (136) (94) (5E)   ;(11100100) (344) (228) (E4)   ;(11011101) (335) (221) (DD)   ;(00110101) (65) (53) (35)   ;(11100100) (344) (228) (E4)   ;(01111011) (173) (123) (7B)   ;(10110111) (267) (183) (B7)   ;
5144
;8168;(11001010) (312) (202) (CA)    ;(01101001) (151) (105) (69)   ;(00010110) (26) (22) (16)   ;(11000101) (305) (197) (C5)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(00000110) (6) (6) (06)   ;(11011101) (335) (221) (DD)   ;
5145
;8176;(01100110) (146) (102) (66)    ;(00000111) (7) (7) (07)   ;(11100101) (345) (229) (E5)   ;(00111110) (76) (62) (3E)   ;(00100000) (40) (32) (20)   ;(11110101) (365) (245) (F5)   ;(00110011) (63) (51) (33)   ;(11011101) (335) (221) (DD)   ;
5146
;8184;(01101110) (156) (110) (6E)    ;(00000100) (4) (4) (04)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(00000101) (5) (5) (05)   ;(11001101) (315) (205) (CD)   ;(01000101) (105) (69) (45)   ;(00100000) (40) (32) (20)   ;
5147
;8192;(11110001) (361) (241) (F1)    ;(00110011) (63) (51) (33)   ;(11000001) (301) (193) (C1)   ;(00000011) (3) (3) (03)   ;(11011101) (335) (221) (DD)   ;(01110001) (161) (113) (71)   ;(11100010) (342) (226) (E2)   ;(11011101) (335) (221) (DD)   ;
5148
;8200;(01110000) (160) (112) (70)    ;(11100011) (343) (227) (E3)   ;(00011000) (30) (24) (18)   ;(11010100) (324) (212) (D4)   ;(11011101) (335) (221) (DD)   ;(01101110) (156) (110) (6E)   ;(00000110) (6) (6) (06)   ;(11011101) (335) (221) (DD)   ;
5149
;8208;(01100110) (146) (102) (66)    ;(00000111) (7) (7) (07)   ;(11100101) (345) (229) (E5)   ;(11011101) (335) (221) (DD)   ;(01111110) (176) (126) (7E)   ;(11110111) (367) (247) (F7)   ;(11110101) (365) (245) (F5)   ;(00110011) (63) (51) (33)   ;
5150
;8216;(11011101) (335) (221) (DD)    ;(01101110) (156) (110) (6E)   ;(00000100) (4) (4) (04)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(00000101) (5) (5) (05)   ;(11001101) (315) (205) (CD)   ;(01000101) (105) (69) (45)   ;
5151
;8224;(00100000) (40) (32) (20)    ;(11110001) (361) (241) (F1)   ;(00110011) (63) (51) (33)   ;(11011101) (335) (221) (DD)   ;(00110100) (64) (52) (34)   ;(11100010) (342) (226) (E2)   ;(11000010) (302) (194) (C2)   ;(01101001) (151) (105) (69)   ;
5152
;8232;(00010110) (26) (22) (16)    ;(11011101) (335) (221) (DD)   ;(00110100) (64) (52) (34)   ;(11100011) (343) (227) (E3)   ;(11000011) (303) (195) (C3)   ;(01101001) (151) (105) (69)   ;(00010110) (26) (22) (16)   ;(11011101) (335) (221) (DD)   ;
5153
;8240;(01101110) (156) (110) (6E)    ;(11100010) (342) (226) (E2)   ;(11011101) (335) (221) (DD)   ;(01100110) (146) (102) (66)   ;(11100011) (343) (227) (E3)   ;(11011101) (335) (221) (DD)   ;(11111001) (371) (249) (F9)   ;(11011101) (335) (221) (DD)   ;
5154
;8248;(11100001) (341) (225) (E1)    ;(11001001) (311) (201) (C9)   ;(00111100) (74) (60) (3C)   ;(01001110) (116) (78) (4E)   ;(01001111) (117) (79) (4F)   ;(00100000) (40) (32) (20)   ;(01000110) (106) (70) (46)   ;(01001100) (114) (76) (4C)   ;
5155
;8256;(01001111) (117) (79) (4F)    ;(01000001) (101) (65) (41)   ;(01010100) (124) (84) (54)   ;(00111110) (76) (62) (3E)   ;(00000000) (0) (0) (00)   ;(11101001) (351) (233) (E9)   ;(10101111) (257) (175) (AF)   ;(00110010) (62) (50) (32)   ;
5156
;8264;(11001101) (315) (205) (CD)    ;(01010111) (127) (87) (57)   ;(00111110) (76) (62) (3E)   ;(00111100) (74) (60) (3C)   ;(00110010) (62) (50) (32)   ;(11001011) (313) (203) (CB)   ;(01010111) (127) (87) (57)   ;(00111110) (76) (62) (3E)   ;
5157
;8272;(01010000) (120) (80) (50)    ;(00110010) (62) (50) (32)   ;(11001100) (314) (204) (CC)   ;(01010111) (127) (87) (57)   ;(00101010) (52) (42) (2A)   ;(11010100) (324) (212) (D4)   ;(01010111) (127) (87) (57)   ;(00100010) (42) (34) (22)   ;
5158
;8280;(11010000) (320) (208) (D0)    ;(01010111) (127) (87) (57)   ;(10101111) (257) (175) (AF)   ;(00110010) (62) (50) (32)   ;(11001110) (316) (206) (CE)   ;(01010111) (127) (87) (57)   ;(00110010) (62) (50) (32)   ;(11001111) (317) (207) (CF)   ;
5159
;8288;(01010111) (127) (87) (57)    ;(11001001) (311) (201) (C9)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5160
;8296;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5161
;8304;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5162
;8312;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5163
;8320;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5164
;8328;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5165
;8336;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5166
;8344;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5167
;8352;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5168
;8360;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5169
;8368;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5170
;8376;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5171
;8384;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5172
;8392;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5173
;8400;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5174
;8408;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5175
;8416;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5176
;8424;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5177
;8432;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5178
;8440;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5179
;8448;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5180
;8456;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5181
;8464;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5182
;8472;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5183
;8480;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5184
;8488;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5185
;8496;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5186
;8504;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5187
;8512;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5188
;8520;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5189
;8528;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5190
;8536;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5191
;8544;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5192
;8552;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5193
;8560;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5194
;8568;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5195
;8576;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5196
;8584;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5197
;8592;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5198
;8600;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5199
;8608;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5200
;8616;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5201
;8624;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5202
;8632;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5203
;8640;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5204
;8648;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5205
;8656;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5206
;8664;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5207
;8672;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5208
;8680;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5209
;8688;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5210
;8696;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5211
;8704;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5212
;8712;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5213
;8720;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5214
;8728;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5215
;8736;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5216
;8744;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5217
;8752;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5218
;8760;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5219
;8768;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5220
;8776;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5221
;8784;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5222
;8792;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5223
;8800;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5224
;8808;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5225
;8816;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5226
;8824;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5227
;8832;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5228
;8840;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5229
;8848;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5230
;8856;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5231
;8864;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5232
;8872;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5233
;8880;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5234
;8888;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5235
;8896;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5236
;8904;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5237
;8912;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5238
;8920;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5239
;8928;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5240
;8936;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5241
;8944;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5242
;8952;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5243
;8960;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5244
;8968;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5245
;8976;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5246
;8984;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5247
;8992;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5248
;9000;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5249
;9008;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5250
;9016;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5251
;9024;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5252
;9032;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5253
;9040;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5254
;9048;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5255
;9056;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5256
;9064;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5257
;9072;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5258
;9080;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5259
;9088;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5260
;9096;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5261
;9104;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5262
;9112;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5263
;9120;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5264
;9128;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5265
;9136;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5266
;9144;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5267
;9152;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5268
;9160;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5269
;9168;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5270
;9176;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5271
;9184;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5272
;9192;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5273
;9200;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5274
;9208;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5275
;9216;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5276
;9224;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5277
;9232;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5278
;9240;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5279
;9248;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5280
;9256;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5281
;9264;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5282
;9272;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5283
;9280;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5284
;9288;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5285
;9296;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5286
;9304;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5287
;9312;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5288
;9320;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5289
;9328;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5290
;9336;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5291
;9344;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5292
;9352;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5293
;9360;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5294
;9368;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5295
;9376;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5296
;9384;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5297
;9392;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5298
;9400;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5299
;9408;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5300
;9416;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5301
;9424;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5302
;9432;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5303
;9440;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5304
;9448;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5305
;9456;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5306
;9464;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5307
;9472;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5308
;9480;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5309
;9488;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5310
;9496;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5311
;9504;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5312
;9512;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5313
;9520;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5314
;9528;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5315
;9536;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5316
;9544;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5317
;9552;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5318
;9560;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5319
;9568;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5320
;9576;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5321
;9584;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5322
;9592;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5323
;9600;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5324
;9608;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5325
;9616;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5326
;9624;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5327
;9632;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5328
;9640;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5329
;9648;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5330
;9656;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5331
;9664;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5332
;9672;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5333
;9680;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5334
;9688;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5335
;9696;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5336
;9704;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5337
;9712;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5338
;9720;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5339
;9728;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5340
;9736;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5341
;9744;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5342
;9752;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5343
;9760;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5344
;9768;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5345
;9776;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5346
;9784;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5347
;9792;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5348
;9800;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5349
;9808;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5350
;9816;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5351
;9824;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5352
;9832;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5353
;9840;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5354
;9848;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5355
;9856;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5356
;9864;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5357
;9872;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5358
;9880;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5359
;9888;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5360
;9896;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5361
;9904;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5362
;9912;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5363
;9920;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5364
;9928;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5365
;9936;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5366
;9944;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5367
;9952;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5368
;9960;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5369
;9968;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5370
;9976;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5371
;9984;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5372
;9992;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5373
;10000;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5374
;10008;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5375
;10016;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5376
;10024;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5377
;10032;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5378
;10040;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5379
;10048;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5380
;10056;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5381
;10064;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5382
;10072;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5383
;10080;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5384
;10088;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5385
;10096;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5386
;10104;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5387
;10112;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5388
;10120;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5389
;10128;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5390
;10136;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5391
;10144;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5392
;10152;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5393
;10160;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5394
;10168;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5395
;10176;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5396
;10184;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5397
;10192;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5398
;10200;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5399
;10208;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5400
;10216;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5401
;10224;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5402
;10232;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5403
;10240;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5404
;10248;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5405
;10256;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5406
;10264;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5407
;10272;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5408
;10280;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5409
;10288;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5410
;10296;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5411
;10304;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5412
;10312;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5413
;10320;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5414
;10328;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5415
;10336;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5416
;10344;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5417
;10352;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5418
;10360;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5419
;10368;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5420
;10376;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5421
;10384;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5422
;10392;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5423
;10400;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5424
;10408;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5425
;10416;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5426
;10424;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5427
;10432;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5428
;10440;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5429
;10448;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5430
;10456;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5431
;10464;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5432
;10472;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5433
;10480;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5434
;10488;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5435
;10496;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5436
;10504;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5437
;10512;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5438
;10520;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5439
;10528;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5440
;10536;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5441
;10544;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5442
;10552;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5443
;10560;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5444
;10568;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5445
;10576;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5446
;10584;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5447
;10592;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5448
;10600;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5449
;10608;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5450
;10616;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5451
;10624;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5452
;10632;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5453
;10640;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5454
;10648;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5455
;10656;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5456
;10664;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5457
;10672;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5458
;10680;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5459
;10688;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5460
;10696;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5461
;10704;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5462
;10712;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5463
;10720;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5464
;10728;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5465
;10736;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5466
;10744;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5467
;10752;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5468
;10760;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5469
;10768;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5470
;10776;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5471
;10784;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5472
;10792;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5473
;10800;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5474
;10808;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5475
;10816;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5476
;10824;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5477
;10832;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5478
;10840;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5479
;10848;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5480
;10856;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5481
;10864;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5482
;10872;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5483
;10880;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5484
;10888;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5485
;10896;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5486
;10904;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5487
;10912;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5488
;10920;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5489
;10928;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5490
;10936;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5491
;10944;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5492
;10952;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5493
;10960;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5494
;10968;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5495
;10976;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5496
;10984;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5497
;10992;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5498
;11000;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5499
;11008;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5500
;11016;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5501
;11024;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5502
;11032;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5503
;11040;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5504
;11048;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5505
;11056;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5506
;11064;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5507
;11072;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5508
;11080;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5509
;11088;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5510
;11096;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5511
;11104;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5512
;11112;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5513
;11120;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5514
;11128;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5515
;11136;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5516
;11144;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5517
;11152;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5518
;11160;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5519
;11168;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5520
;11176;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5521
;11184;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5522
;11192;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5523
;11200;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5524
;11208;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5525
;11216;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5526
;11224;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5527
;11232;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5528
;11240;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5529
;11248;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5530
;11256;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5531
;11264;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5532
;11272;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5533
;11280;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5534
;11288;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5535
;11296;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5536
;11304;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5537
;11312;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5538
;11320;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5539
;11328;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5540
;11336;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5541
;11344;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5542
;11352;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5543
;11360;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5544
;11368;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5545
;11376;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5546
;11384;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5547
;11392;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5548
;11400;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5549
;11408;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5550
;11416;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5551
;11424;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5552
;11432;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5553
;11440;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5554
;11448;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5555
;11456;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5556
;11464;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5557
;11472;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5558
;11480;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5559
;11488;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5560
;11496;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5561
;11504;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5562
;11512;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5563
;11520;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5564
;11528;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5565
;11536;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5566
;11544;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5567
;11552;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5568
;11560;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5569
;11568;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5570
;11576;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5571
;11584;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5572
;11592;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5573
;11600;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5574
;11608;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5575
;11616;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5576
;11624;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5577
;11632;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5578
;11640;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5579
;11648;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5580
;11656;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5581
;11664;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5582
;11672;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5583
;11680;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5584
;11688;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5585
;11696;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5586
;11704;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5587
;11712;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5588
;11720;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5589
;11728;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5590
;11736;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5591
;11744;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5592
;11752;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5593
;11760;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5594
;11768;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5595
;11776;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5596
;11784;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5597
;11792;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5598
;11800;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5599
;11808;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5600
;11816;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5601
;11824;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5602
;11832;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5603
;11840;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5604
;11848;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5605
;11856;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5606
;11864;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5607
;11872;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5608
;11880;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5609
;11888;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5610
;11896;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5611
;11904;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5612
;11912;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5613
;11920;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5614
;11928;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5615
;11936;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5616
;11944;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5617
;11952;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5618
;11960;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5619
;11968;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5620
;11976;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5621
;11984;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5622
;11992;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5623
;12000;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5624
;12008;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5625
;12016;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5626
;12024;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5627
;12032;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5628
;12040;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5629
;12048;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5630
;12056;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5631
;12064;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5632
;12072;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5633
;12080;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5634
;12088;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5635
;12096;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5636
;12104;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5637
;12112;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5638
;12120;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5639
;12128;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5640
;12136;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5641
;12144;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5642
;12152;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5643
;12160;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5644
;12168;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5645
;12176;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5646
;12184;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5647
;12192;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5648
;12200;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5649
;12208;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5650
;12216;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5651
;12224;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5652
;12232;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5653
;12240;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5654
;12248;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5655
;12256;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5656
;12264;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5657
;12272;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5658
;12280;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5659
;12288;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5660
;12296;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5661
;12304;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5662
;12312;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5663
;12320;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5664
;12328;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5665
;12336;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5666
;12344;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5667
;12352;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5668
;12360;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5669
;12368;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5670
;12376;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5671
;12384;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5672
;12392;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5673
;12400;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5674
;12408;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5675
;12416;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5676
;12424;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5677
;12432;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5678
;12440;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5679
;12448;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5680
;12456;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5681
;12464;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5682
;12472;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5683
;12480;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5684
;12488;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5685
;12496;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5686
;12504;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5687
;12512;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5688
;12520;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5689
;12528;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5690
;12536;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5691
;12544;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5692
;12552;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5693
;12560;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5694
;12568;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5695
;12576;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5696
;12584;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5697
;12592;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5698
;12600;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5699
;12608;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5700
;12616;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5701
;12624;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5702
;12632;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5703
;12640;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5704
;12648;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5705
;12656;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5706
;12664;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5707
;12672;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5708
;12680;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5709
;12688;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5710
;12696;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5711
;12704;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5712
;12712;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5713
;12720;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5714
;12728;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5715
;12736;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5716
;12744;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5717
;12752;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5718
;12760;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5719
;12768;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5720
;12776;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5721
;12784;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5722
;12792;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5723
;12800;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5724
;12808;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5725
;12816;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5726
;12824;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5727
;12832;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5728
;12840;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5729
;12848;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5730
;12856;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5731
;12864;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5732
;12872;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5733
;12880;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5734
;12888;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5735
;12896;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5736
;12904;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5737
;12912;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5738
;12920;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5739
;12928;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5740
;12936;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5741
;12944;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5742
;12952;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5743
;12960;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5744
;12968;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5745
;12976;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5746
;12984;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5747
;12992;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5748
;13000;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5749
;13008;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5750
;13016;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5751
;13024;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5752
;13032;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5753
;13040;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5754
;13048;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5755
;13056;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5756
;13064;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5757
;13072;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5758
;13080;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5759
;13088;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5760
;13096;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5761
;13104;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5762
;13112;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5763
;13120;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5764
;13128;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5765
;13136;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5766
;13144;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5767
;13152;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5768
;13160;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5769
;13168;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5770
;13176;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5771
;13184;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5772
;13192;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5773
;13200;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5774
;13208;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5775
;13216;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5776
;13224;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5777
;13232;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5778
;13240;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5779
;13248;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5780
;13256;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5781
;13264;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5782
;13272;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5783
;13280;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5784
;13288;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5785
;13296;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5786
;13304;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5787
;13312;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5788
;13320;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5789
;13328;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5790
;13336;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5791
;13344;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5792
;13352;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5793
;13360;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5794
;13368;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5795
;13376;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5796
;13384;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5797
;13392;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5798
;13400;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5799
;13408;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5800
;13416;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5801
;13424;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5802
;13432;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5803
;13440;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5804
;13448;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5805
;13456;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5806
;13464;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5807
;13472;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5808
;13480;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5809
;13488;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5810
;13496;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5811
;13504;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5812
;13512;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5813
;13520;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5814
;13528;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5815
;13536;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5816
;13544;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5817
;13552;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5818
;13560;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5819
;13568;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5820
;13576;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5821
;13584;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5822
;13592;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5823
;13600;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5824
;13608;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5825
;13616;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5826
;13624;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5827
;13632;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5828
;13640;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5829
;13648;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5830
;13656;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5831
;13664;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5832
;13672;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5833
;13680;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5834
;13688;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5835
;13696;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5836
;13704;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5837
;13712;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5838
;13720;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5839
;13728;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5840
;13736;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5841
;13744;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5842
;13752;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5843
;13760;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5844
;13768;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5845
;13776;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5846
;13784;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5847
;13792;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5848
;13800;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5849
;13808;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5850
;13816;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5851
;13824;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5852
;13832;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5853
;13840;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5854
;13848;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5855
;13856;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5856
;13864;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5857
;13872;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5858
;13880;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5859
;13888;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5860
;13896;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5861
;13904;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5862
;13912;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5863
;13920;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5864
;13928;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5865
;13936;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5866
;13944;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5867
;13952;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5868
;13960;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5869
;13968;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5870
;13976;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5871
;13984;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5872
;13992;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5873
;14000;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5874
;14008;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5875
;14016;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5876
;14024;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5877
;14032;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5878
;14040;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5879
;14048;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5880
;14056;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5881
;14064;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5882
;14072;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5883
;14080;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5884
;14088;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5885
;14096;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5886
;14104;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5887
;14112;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5888
;14120;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5889
;14128;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5890
;14136;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5891
;14144;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5892
;14152;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5893
;14160;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5894
;14168;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5895
;14176;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5896
;14184;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5897
;14192;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5898
;14200;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5899
;14208;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5900
;14216;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5901
;14224;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5902
;14232;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5903
;14240;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5904
;14248;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5905
;14256;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5906
;14264;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5907
;14272;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5908
;14280;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5909
;14288;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5910
;14296;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5911
;14304;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5912
;14312;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5913
;14320;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5914
;14328;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5915
;14336;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5916
;14344;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5917
;14352;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5918
;14360;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5919
;14368;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5920
;14376;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5921
;14384;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5922
;14392;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5923
;14400;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5924
;14408;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5925
;14416;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5926
;14424;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5927
;14432;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5928
;14440;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5929
;14448;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5930
;14456;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5931
;14464;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5932
;14472;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5933
;14480;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5934
;14488;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5935
;14496;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5936
;14504;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5937
;14512;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5938
;14520;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5939
;14528;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5940
;14536;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5941
;14544;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5942
;14552;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5943
;14560;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5944
;14568;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5945
;14576;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5946
;14584;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5947
;14592;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5948
;14600;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5949
;14608;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5950
;14616;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5951
;14624;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5952
;14632;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5953
;14640;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5954
;14648;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5955
;14656;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5956
;14664;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5957
;14672;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5958
;14680;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5959
;14688;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5960
;14696;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5961
;14704;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5962
;14712;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5963
;14720;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5964
;14728;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5965
;14736;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5966
;14744;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5967
;14752;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5968
;14760;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5969
;14768;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5970
;14776;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5971
;14784;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5972
;14792;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5973
;14800;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5974
;14808;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5975
;14816;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5976
;14824;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5977
;14832;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5978
;14840;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5979
;14848;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5980
;14856;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5981
;14864;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5982
;14872;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5983
;14880;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5984
;14888;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5985
;14896;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5986
;14904;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5987
;14912;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5988
;14920;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5989
;14928;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5990
;14936;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5991
;14944;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5992
;14952;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5993
;14960;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5994
;14968;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5995
;14976;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5996
;14984;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5997
;14992;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5998
;15000;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
5999
;15008;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6000
;15016;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6001
;15024;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6002
;15032;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6003
;15040;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6004
;15048;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6005
;15056;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6006
;15064;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6007
;15072;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6008
;15080;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6009
;15088;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6010
;15096;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6011
;15104;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6012
;15112;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6013
;15120;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6014
;15128;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6015
;15136;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6016
;15144;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6017
;15152;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6018
;15160;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6019
;15168;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6020
;15176;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6021
;15184;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6022
;15192;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6023
;15200;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6024
;15208;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6025
;15216;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6026
;15224;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6027
;15232;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6028
;15240;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6029
;15248;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6030
;15256;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6031
;15264;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6032
;15272;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6033
;15280;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6034
;15288;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6035
;15296;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6036
;15304;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6037
;15312;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6038
;15320;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6039
;15328;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6040
;15336;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6041
;15344;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6042
;15352;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6043
;15360;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6044
;15368;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6045
;15376;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6046
;15384;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6047
;15392;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6048
;15400;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6049
;15408;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6050
;15416;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6051
;15424;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6052
;15432;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6053
;15440;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6054
;15448;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6055
;15456;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6056
;15464;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6057
;15472;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6058
;15480;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6059
;15488;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6060
;15496;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6061
;15504;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6062
;15512;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6063
;15520;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6064
;15528;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6065
;15536;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6066
;15544;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6067
;15552;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6068
;15560;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6069
;15568;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6070
;15576;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6071
;15584;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6072
;15592;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6073
;15600;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6074
;15608;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6075
;15616;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6076
;15624;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6077
;15632;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6078
;15640;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6079
;15648;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6080
;15656;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6081
;15664;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6082
;15672;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6083
;15680;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6084
;15688;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6085
;15696;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6086
;15704;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6087
;15712;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6088
;15720;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6089
;15728;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6090
;15736;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6091
;15744;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6092
;15752;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6093
;15760;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6094
;15768;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6095
;15776;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6096
;15784;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6097
;15792;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6098
;15800;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6099
;15808;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6100
;15816;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6101
;15824;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6102
;15832;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6103
;15840;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6104
;15848;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6105
;15856;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6106
;15864;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6107
;15872;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6108
;15880;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6109
;15888;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6110
;15896;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6111
;15904;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6112
;15912;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6113
;15920;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6114
;15928;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6115
;15936;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6116
;15944;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6117
;15952;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6118
;15960;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6119
;15968;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6120
;15976;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6121
;15984;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6122
;15992;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6123
;16000;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6124
;16008;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6125
;16016;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6126
;16024;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6127
;16032;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6128
;16040;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6129
;16048;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6130
;16056;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6131
;16064;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6132
;16072;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6133
;16080;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6134
;16088;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6135
;16096;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6136
;16104;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6137
;16112;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6138
;16120;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6139
;16128;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6140
;16136;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6141
;16144;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6142
;16152;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6143
;16160;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6144
;16168;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6145
;16176;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6146
;16184;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6147
;16192;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6148
;16200;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6149
;16208;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6150
;16216;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6151
;16224;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6152
;16232;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6153
;16240;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6154
;16248;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6155
;16256;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6156
;16264;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6157
;16272;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6158
;16280;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6159
;16288;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6160
;16296;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6161
;16304;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6162
;16312;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6163
;16320;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6164
;16328;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6165
;16336;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6166
;16344;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6167
;16352;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6168
;16360;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6169
;16368;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6170
;16376;(00000000) (0) (0) (00)    ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;(00000000) (0) (0) (00)   ;
6171
 
6172
 
6173
+-------------------------------------------------------+
6174
; Other Routing Usage Summary                           ;
6175
+-----------------------------+-------------------------+
6176
; Other Routing Resource Type ; Usage                   ;
6177
+-----------------------------+-------------------------+
6178
; Block interconnects         ; 5,750 / 342,891 ( 2 % ) ;
6179
; C16 interconnects           ; 355 / 10,120 ( 4 % )    ;
6180
; C4 interconnects            ; 4,137 / 209,544 ( 2 % ) ;
6181
; Direct links                ; 462 / 342,891 ( < 1 % ) ;
6182
; Global clocks               ; 20 / 20 ( 100 % )       ;
6183
; Local interconnects         ; 2,002 / 119,088 ( 2 % ) ;
6184
; R24 interconnects           ; 410 / 9,963 ( 4 % )     ;
6185
; R4 interconnects            ; 4,548 / 289,782 ( 2 % ) ;
6186
+-----------------------------+-------------------------+
6187
 
6188
 
6189
+-----------------------------------------------------------------------------+
6190
; LAB Logic Elements                                                          ;
6191
+---------------------------------------------+-------------------------------+
6192
; Number of Logic Elements  (Average = 14.10) ; Number of LABs  (Total = 226) ;
6193
+---------------------------------------------+-------------------------------+
6194
; 1                                           ; 3                             ;
6195
; 2                                           ; 4                             ;
6196
; 3                                           ; 8                             ;
6197
; 4                                           ; 0                             ;
6198
; 5                                           ; 2                             ;
6199
; 6                                           ; 1                             ;
6200
; 7                                           ; 0                             ;
6201
; 8                                           ; 1                             ;
6202
; 9                                           ; 5                             ;
6203
; 10                                          ; 9                             ;
6204
; 11                                          ; 2                             ;
6205
; 12                                          ; 2                             ;
6206
; 13                                          ; 8                             ;
6207
; 14                                          ; 16                            ;
6208
; 15                                          ; 21                            ;
6209
; 16                                          ; 144                           ;
6210
+---------------------------------------------+-------------------------------+
6211
 
6212
 
6213
+--------------------------------------------------------------------+
6214
; LAB-wide Signals                                                   ;
6215
+------------------------------------+-------------------------------+
6216
; LAB-wide Signals  (Average = 1.18) ; Number of LABs  (Total = 226) ;
6217
+------------------------------------+-------------------------------+
6218
; 1 Async. clear                     ; 54                            ;
6219
; 1 Clock                            ; 120                           ;
6220
; 1 Clock enable                     ; 52                            ;
6221
; 1 Sync. clear                      ; 1                             ;
6222
; 1 Sync. load                       ; 7                             ;
6223
; 2 Clock enables                    ; 21                            ;
6224
; 2 Clocks                           ; 12                            ;
6225
+------------------------------------+-------------------------------+
6226
 
6227
 
6228
+------------------------------------------------------------------------------+
6229
; LAB Signals Sourced                                                          ;
6230
+----------------------------------------------+-------------------------------+
6231
; Number of Signals Sourced  (Average = 16.84) ; Number of LABs  (Total = 226) ;
6232
+----------------------------------------------+-------------------------------+
6233
; 0                                            ; 0                             ;
6234
; 1                                            ; 2                             ;
6235
; 2                                            ; 4                             ;
6236
; 3                                            ; 8                             ;
6237
; 4                                            ; 1                             ;
6238
; 5                                            ; 0                             ;
6239
; 6                                            ; 0                             ;
6240
; 7                                            ; 0                             ;
6241
; 8                                            ; 0                             ;
6242
; 9                                            ; 4                             ;
6243
; 10                                           ; 3                             ;
6244
; 11                                           ; 2                             ;
6245
; 12                                           ; 2                             ;
6246
; 13                                           ; 2                             ;
6247
; 14                                           ; 7                             ;
6248
; 15                                           ; 13                            ;
6249
; 16                                           ; 64                            ;
6250
; 17                                           ; 27                            ;
6251
; 18                                           ; 15                            ;
6252
; 19                                           ; 10                            ;
6253
; 20                                           ; 19                            ;
6254
; 21                                           ; 9                             ;
6255
; 22                                           ; 12                            ;
6256
; 23                                           ; 6                             ;
6257
; 24                                           ; 5                             ;
6258
; 25                                           ; 1                             ;
6259
; 26                                           ; 2                             ;
6260
; 27                                           ; 4                             ;
6261
; 28                                           ; 2                             ;
6262
; 29                                           ; 0                             ;
6263
; 30                                           ; 1                             ;
6264
; 31                                           ; 1                             ;
6265
+----------------------------------------------+-------------------------------+
6266
 
6267
 
6268
+---------------------------------------------------------------------------------+
6269
; LAB Signals Sourced Out                                                         ;
6270
+-------------------------------------------------+-------------------------------+
6271
; Number of Signals Sourced Out  (Average = 8.13) ; Number of LABs  (Total = 226) ;
6272
+-------------------------------------------------+-------------------------------+
6273
; 0                                               ; 0                             ;
6274
; 1                                               ; 18                            ;
6275
; 2                                               ; 12                            ;
6276
; 3                                               ; 19                            ;
6277
; 4                                               ; 10                            ;
6278
; 5                                               ; 12                            ;
6279
; 6                                               ; 18                            ;
6280
; 7                                               ; 20                            ;
6281
; 8                                               ; 17                            ;
6282
; 9                                               ; 19                            ;
6283
; 10                                              ; 19                            ;
6284
; 11                                              ; 9                             ;
6285
; 12                                              ; 7                             ;
6286
; 13                                              ; 11                            ;
6287
; 14                                              ; 5                             ;
6288
; 15                                              ; 13                            ;
6289
; 16                                              ; 10                            ;
6290
; 17                                              ; 2                             ;
6291
; 18                                              ; 1                             ;
6292
; 19                                              ; 0                             ;
6293
; 20                                              ; 0                             ;
6294
; 21                                              ; 1                             ;
6295
; 22                                              ; 0                             ;
6296
; 23                                              ; 2                             ;
6297
; 24                                              ; 1                             ;
6298
+-------------------------------------------------+-------------------------------+
6299
 
6300
 
6301
+------------------------------------------------------------------------------+
6302
; LAB Distinct Inputs                                                          ;
6303
+----------------------------------------------+-------------------------------+
6304
; Number of Distinct Inputs  (Average = 19.30) ; Number of LABs  (Total = 226) ;
6305
+----------------------------------------------+-------------------------------+
6306
; 0                                            ; 0                             ;
6307
; 1                                            ; 3                             ;
6308
; 2                                            ; 7                             ;
6309
; 3                                            ; 4                             ;
6310
; 4                                            ; 10                            ;
6311
; 5                                            ; 2                             ;
6312
; 6                                            ; 0                             ;
6313
; 7                                            ; 2                             ;
6314
; 8                                            ; 3                             ;
6315
; 9                                            ; 5                             ;
6316
; 10                                           ; 3                             ;
6317
; 11                                           ; 6                             ;
6318
; 12                                           ; 9                             ;
6319
; 13                                           ; 7                             ;
6320
; 14                                           ; 6                             ;
6321
; 15                                           ; 10                            ;
6322
; 16                                           ; 10                            ;
6323
; 17                                           ; 7                             ;
6324
; 18                                           ; 6                             ;
6325
; 19                                           ; 8                             ;
6326
; 20                                           ; 11                            ;
6327
; 21                                           ; 8                             ;
6328
; 22                                           ; 3                             ;
6329
; 23                                           ; 8                             ;
6330
; 24                                           ; 13                            ;
6331
; 25                                           ; 5                             ;
6332
; 26                                           ; 9                             ;
6333
; 27                                           ; 11                            ;
6334
; 28                                           ; 11                            ;
6335
; 29                                           ; 5                             ;
6336
; 30                                           ; 9                             ;
6337
; 31                                           ; 7                             ;
6338
; 32                                           ; 8                             ;
6339
; 33                                           ; 10                            ;
6340
+----------------------------------------------+-------------------------------+
6341
 
6342
 
6343
+------------------------------------------+
6344
; I/O Rules Summary                        ;
6345
+----------------------------------+-------+
6346
; I/O Rules Statistic              ; Total ;
6347
+----------------------------------+-------+
6348
; Total I/O Rules                  ; 30    ;
6349
; Number of I/O Rules Passed       ; 13    ;
6350
; Number of I/O Rules Failed       ; 0     ;
6351
; Number of I/O Rules Unchecked    ; 0     ;
6352
; Number of I/O Rules Inapplicable ; 17    ;
6353
+----------------------------------+-------+
6354
 
6355
 
6356
+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
6357
; I/O Rules Details                                                                                                                                                                                                                                                                                   ;
6358
+--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+
6359
; Status       ; ID        ; Category                          ; Rule Description                                                                                     ; Severity ; Information                                                              ; Area                ; Extra Information ;
6360
+--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+
6361
; Pass         ; IO_000001 ; Capacity Checks                   ; Number of pins in an I/O bank should not exceed the number of locations available.                   ; Critical ; 0 such failures found.                                                   ; I/O                 ;                   ;
6362
; Inapplicable ; IO_000002 ; Capacity Checks                   ; Number of clocks in an I/O bank should not exceed the number of clocks available.                    ; Critical ; No Global Signal assignments found.                                      ; I/O                 ;                   ;
6363
; Pass         ; IO_000003 ; Capacity Checks                   ; Number of pins in a Vrefgroup should not exceed the number of locations available.                   ; Critical ; 0 such failures found.                                                   ; I/O                 ;                   ;
6364
; Inapplicable ; IO_000004 ; Voltage Compatibility Checks      ; The I/O bank should support the requested VCCIO.                                                     ; Critical ; No IOBANK_VCCIO assignments found.                                       ; I/O                 ;                   ;
6365
; Inapplicable ; IO_000005 ; Voltage Compatibility Checks      ; The I/O bank should not have competing VREF values.                                                  ; Critical ; No VREF I/O Standard assignments found.                                  ; I/O                 ;                   ;
6366
; Pass         ; IO_000006 ; Voltage Compatibility Checks      ; The I/O bank should not have competing VCCIO values.                                                 ; Critical ; 0 such failures found.                                                   ; I/O                 ;                   ;
6367
; Pass         ; IO_000007 ; Valid Location Checks             ; Checks for unavailable locations.                                                                    ; Critical ; 0 such failures found.                                                   ; I/O                 ;                   ;
6368
; Inapplicable ; IO_000008 ; Valid Location Checks             ; Checks for reserved locations.                                                                       ; Critical ; No reserved LogicLock region found.                                      ; I/O                 ;                   ;
6369
; Pass         ; IO_000009 ; I/O Properties Checks for One I/O ; The location should support the requested I/O standard.                                              ; Critical ; 0 such failures found.                                                   ; I/O                 ;                   ;
6370
; Pass         ; IO_000010 ; I/O Properties Checks for One I/O ; The location should support the requested I/O direction.                                             ; Critical ; 0 such failures found.                                                   ; I/O                 ;                   ;
6371
; Inapplicable ; IO_000011 ; I/O Properties Checks for One I/O ; The location should support the requested Current Strength.                                          ; Critical ; No Current Strength assignments found.                                   ; I/O                 ;                   ;
6372
; Pass         ; IO_000012 ; I/O Properties Checks for One I/O ; The location should support the requested On Chip Termination value.                                 ; Critical ; 0 such failures found.                                                   ; I/O                 ;                   ;
6373
; Inapplicable ; IO_000013 ; I/O Properties Checks for One I/O ; The location should support the requested Bus Hold value.                                            ; Critical ; No Enable Bus-Hold Circuitry assignments found.                          ; I/O                 ;                   ;
6374
; Inapplicable ; IO_000014 ; I/O Properties Checks for One I/O ; The location should support the requested Weak Pull Up value.                                        ; Critical ; No Weak Pull-Up Resistor assignments found.                              ; I/O                 ;                   ;
6375
; Pass         ; IO_000015 ; I/O Properties Checks for One I/O ; The location should support the requested PCI Clamp Diode.                                           ; Critical ; 0 such failures found.                                                   ; I/O                 ;                   ;
6376
; Inapplicable ; IO_000018 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Current Strength.                                      ; Critical ; No Current Strength assignments found.                                   ; I/O                 ;                   ;
6377
; Pass         ; IO_000019 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested On Chip Termination value.                             ; Critical ; 0 such failures found.                                                   ; I/O                 ;                   ;
6378
; Pass         ; IO_000020 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested PCI Clamp Diode.                                       ; Critical ; 0 such failures found.                                                   ; I/O                 ;                   ;
6379
; Inapplicable ; IO_000021 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Weak Pull Up value.                                    ; Critical ; No Weak Pull-Up Resistor assignments found.                              ; I/O                 ;                   ;
6380
; Inapplicable ; IO_000022 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Bus Hold value.                                        ; Critical ; No Enable Bus-Hold Circuitry assignments found.                          ; I/O                 ;                   ;
6381
; Pass         ; IO_000023 ; I/O Properties Checks for One I/O ; The I/O standard should support the Open Drain value.                                                ; Critical ; 0 such failures found.                                                   ; I/O                 ;                   ;
6382
; Pass         ; IO_000024 ; I/O Properties Checks for One I/O ; The I/O direction should support the On Chip Termination value.                                      ; Critical ; 0 such failures found.                                                   ; I/O                 ;                   ;
6383
; Inapplicable ; IO_000026 ; I/O Properties Checks for One I/O ; On Chip Termination and Current Strength should not be used at the same time.                        ; Critical ; No Current Strength assignments found.                                   ; I/O                 ;                   ;
6384
; Inapplicable ; IO_000027 ; I/O Properties Checks for One I/O ; Weak Pull Up and Bus Hold should not be used at the same time.                                       ; Critical ; No Enable Bus-Hold Circuitry or Weak Pull-Up Resistor assignments found. ; I/O                 ;                   ;
6385
; Inapplicable ; IO_000045 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Slew Rate value.                                       ; Critical ; No Slew Rate assignments found.                                          ; I/O                 ;                   ;
6386
; Inapplicable ; IO_000046 ; I/O Properties Checks for One I/O ; The location should support the requested Slew Rate value.                                           ; Critical ; No Slew Rate assignments found.                                          ; I/O                 ;                   ;
6387
; Inapplicable ; IO_000047 ; I/O Properties Checks for One I/O ; On Chip Termination and Slew Rate should not be used at the same time.                               ; Critical ; No Slew Rate assignments found.                                          ; I/O                 ;                   ;
6388
; Pass         ; IO_000033 ; Electromigration Checks           ; Current density for consecutive I/Os should not exceed 240mA for row I/Os and 240mA for column I/Os. ; Critical ; 0 such failures found.                                                   ; I/O                 ;                   ;
6389
; Inapplicable ; IO_000034 ; SI Related Distance Checks        ; Single-ended outputs should be 5 LAB row(s) away from a differential I/O.                            ; High     ; No Differential I/O Standard assignments found.                          ; I/O                 ;                   ;
6390
; Inapplicable ; IO_000042 ; SI Related SSO Limit Checks       ; No more than 20 outputs are allowed in a VREF group when VREF is being read from.                    ; High     ; No VREF I/O Standard assignments found.                                  ; I/O                 ;                   ;
6391
; ----         ; ----      ; Disclaimer                        ; OCT rules are checked but not reported.                                                              ; None     ; ----                                                                     ; On Chip Termination ;                   ;
6392
+--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+
6393
 
6394
 
6395
+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
6396
; I/O Rules Matrix                                                                                                                                                                                                                                                                                                                                                                                                                                                ;
6397
+--------------------+-----------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+
6398
; Pin/Rules          ; IO_000001 ; IO_000002    ; IO_000003 ; IO_000004    ; IO_000005    ; IO_000006 ; IO_000007 ; IO_000008    ; IO_000009 ; IO_000010 ; IO_000011    ; IO_000012    ; IO_000013    ; IO_000014    ; IO_000015    ; IO_000018    ; IO_000019    ; IO_000020    ; IO_000021    ; IO_000022    ; IO_000023    ; IO_000024    ; IO_000026    ; IO_000027    ; IO_000045    ; IO_000046    ; IO_000047    ; IO_000033 ; IO_000034    ; IO_000042    ;
6399
+--------------------+-----------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+
6400
; Total Pass         ; 303       ; 0            ; 303       ; 0            ; 0            ; 303       ; 303       ; 0            ; 303       ; 303       ; 0            ; 30           ; 0            ; 0            ; 102          ; 0            ; 30           ; 102          ; 0            ; 0            ; 58           ; 30           ; 0            ; 0            ; 0            ; 0            ; 0            ; 303       ; 0            ; 0            ;
6401
; Total Unchecked    ; 0         ; 0            ; 0         ; 0            ; 0            ; 0         ; 0         ; 0            ; 0         ; 0         ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0         ; 0            ; 0            ;
6402
; Total Inapplicable ; 0         ; 303          ; 0         ; 303          ; 303          ; 0         ; 0         ; 303          ; 0         ; 0         ; 303          ; 273          ; 303          ; 303          ; 201          ; 303          ; 273          ; 201          ; 303          ; 303          ; 245          ; 273          ; 303          ; 303          ; 303          ; 303          ; 303          ; 0         ; 303          ; 303          ;
6403
; Total Fail         ; 0         ; 0            ; 0         ; 0            ; 0            ; 0         ; 0         ; 0            ; 0         ; 0         ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0         ; 0            ; 0            ;
6404
; HEX0[0]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6405
; HEX0[1]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6406
; HEX0[2]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6407
; HEX0[3]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6408
; HEX0[4]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6409
; HEX0[5]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6410
; HEX0[6]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6411
; HEX1[0]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6412
; HEX1[1]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6413
; HEX1[2]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6414
; HEX1[3]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6415
; HEX1[4]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6416
; HEX1[5]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6417
; HEX1[6]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6418
; HEX2[0]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6419
; HEX2[1]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6420
; HEX2[2]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6421
; HEX2[3]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6422
; HEX2[4]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6423
; HEX2[5]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6424
; HEX2[6]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6425
; HEX3[0]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6426
; HEX3[1]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6427
; HEX3[2]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6428
; HEX3[3]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6429
; HEX3[4]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6430
; HEX3[5]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6431
; HEX3[6]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6432
; HEX4[0]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6433
; HEX4[1]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6434
; HEX4[2]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6435
; HEX4[3]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6436
; HEX4[4]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6437
; HEX4[5]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6438
; HEX4[6]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6439
; HEX5[0]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6440
; HEX5[1]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6441
; HEX5[2]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6442
; HEX5[3]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6443
; HEX5[4]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6444
; HEX5[5]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6445
; HEX5[6]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6446
; HEX6[0]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6447
; HEX6[1]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6448
; HEX6[2]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6449
; HEX6[3]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6450
; HEX6[4]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6451
; HEX6[5]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6452
; HEX6[6]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6453
; HEX7[0]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6454
; HEX7[1]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6455
; HEX7[2]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6456
; HEX7[3]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6457
; HEX7[4]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6458
; HEX7[5]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6459
; HEX7[6]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6460
; LEDG[0]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6461
; LEDG[1]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6462
; LEDG[2]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6463
; LEDG[3]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6464
; LEDG[4]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6465
; LEDG[5]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6466
; LEDG[6]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6467
; LEDG[7]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6468
; LEDG[8]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6469
; LEDR[0]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6470
; LEDR[1]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6471
; LEDR[2]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6472
; LEDR[3]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6473
; LEDR[4]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6474
; LEDR[5]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6475
; LEDR[6]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6476
; LEDR[7]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6477
; LEDR[8]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6478
; LEDR[9]            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6479
; LEDR[10]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6480
; LEDR[11]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6481
; LEDR[12]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6482
; LEDR[13]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6483
; LEDR[14]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6484
; LEDR[15]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6485
; LEDR[16]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6486
; LEDR[17]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6487
; UART_TXD           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6488
; UART_RXD           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6489
; UART_RTS           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6490
; UART_CTS           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6491
; DRAM_BA_0          ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6492
; DRAM_BA_1          ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6493
; DRAM_DQM_0         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6494
; DRAM_DQM_1         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6495
; DRAM_DQM_2         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6496
; DRAM_DQM_3         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6497
; DRAM_WE_N          ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6498
; DRAM_CAS_N         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6499
; DRAM_RAS_N         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6500
; DRAM_CS_N          ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6501
; DRAM_ADDR[0]       ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6502
; DRAM_ADDR[1]       ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6503
; DRAM_ADDR[2]       ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6504
; DRAM_ADDR[3]       ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6505
; DRAM_ADDR[4]       ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6506
; DRAM_ADDR[5]       ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6507
; DRAM_ADDR[6]       ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6508
; DRAM_ADDR[7]       ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6509
; DRAM_ADDR[8]       ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6510
; DRAM_ADDR[9]       ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6511
; DRAM_ADDR[10]      ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6512
; DRAM_ADDR[11]      ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6513
; DRAM_ADDR[12]      ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6514
; DRAM_CLK           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6515
; DRAM_CKE           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6516
; FL_ADDR[0]         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6517
; FL_ADDR[1]         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6518
; FL_ADDR[2]         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6519
; FL_ADDR[3]         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6520
; FL_ADDR[4]         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6521
; FL_ADDR[5]         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6522
; FL_ADDR[6]         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6523
; FL_ADDR[7]         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6524
; FL_ADDR[8]         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6525
; FL_ADDR[9]         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6526
; FL_ADDR[10]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6527
; FL_ADDR[11]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6528
; FL_ADDR[12]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6529
; FL_ADDR[13]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6530
; FL_ADDR[14]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6531
; FL_ADDR[15]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6532
; FL_ADDR[16]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6533
; FL_ADDR[17]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6534
; FL_ADDR[18]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6535
; FL_ADDR[19]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6536
; FL_ADDR[20]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6537
; FL_ADDR[21]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6538
; FL_ADDR[22]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6539
; FL_RY              ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6540
; FL_WP_N            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6541
; FL_WE_N            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6542
; FL_RST_N           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6543
; FL_OE_N            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6544
; FL_CE_N            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6545
; SRAM_ADDR[0]       ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6546
; SRAM_ADDR[1]       ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6547
; SRAM_ADDR[2]       ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6548
; SRAM_ADDR[3]       ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6549
; SRAM_ADDR[4]       ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6550
; SRAM_ADDR[5]       ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6551
; SRAM_ADDR[6]       ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6552
; SRAM_ADDR[7]       ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6553
; SRAM_ADDR[8]       ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6554
; SRAM_ADDR[9]       ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6555
; SRAM_ADDR[10]      ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6556
; SRAM_ADDR[11]      ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6557
; SRAM_ADDR[12]      ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6558
; SRAM_ADDR[13]      ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6559
; SRAM_ADDR[14]      ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6560
; SRAM_ADDR[15]      ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6561
; SRAM_ADDR[16]      ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6562
; SRAM_ADDR[17]      ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6563
; SRAM_ADDR[18]      ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6564
; SRAM_ADDR[19]      ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6565
; SRAM_UB_N          ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6566
; SRAM_LB_N          ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6567
; SRAM_WE_N          ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6568
; SRAM_CE_N          ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6569
; SRAM_OE_N          ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6570
; SD_DAT0            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6571
; SD_DAT3            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6572
; SD_CMD             ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6573
; SD_CLK             ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6574
; VGA_SYNC_N         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6575
; VGA_CLK            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6576
; VGA_BLANK_N        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6577
; VGA_HS             ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6578
; VGA_VS             ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6579
; VGA_R[0]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6580
; VGA_R[1]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6581
; VGA_R[2]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6582
; VGA_R[3]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6583
; VGA_R[4]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6584
; VGA_R[5]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6585
; VGA_R[6]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6586
; VGA_R[7]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6587
; VGA_G[0]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6588
; VGA_G[1]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6589
; VGA_G[2]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6590
; VGA_G[3]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6591
; VGA_G[4]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6592
; VGA_G[5]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6593
; VGA_G[6]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6594
; VGA_G[7]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6595
; VGA_B[0]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6596
; VGA_B[1]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6597
; VGA_B[2]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6598
; VGA_B[3]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6599
; VGA_B[4]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6600
; VGA_B[5]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6601
; VGA_B[6]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6602
; VGA_B[7]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6603
; AUD_ADCDAT         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6604
; AUD_DACDAT         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6605
; AUD_XCK            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6606
; LCD_RS             ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6607
; LCD_EN             ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6608
; LCD_RW             ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6609
; LCD_ON             ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6610
; LCD_BLON           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6611
; SD_DAT1            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6612
; SD_DAT2            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6613
; PS2_DAT2           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6614
; PS2_CLK2           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6615
; DRAM_DQ[0]         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6616
; DRAM_DQ[1]         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6617
; DRAM_DQ[2]         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6618
; DRAM_DQ[3]         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6619
; DRAM_DQ[4]         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6620
; DRAM_DQ[5]         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6621
; DRAM_DQ[6]         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6622
; DRAM_DQ[7]         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6623
; DRAM_DQ[8]         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6624
; DRAM_DQ[9]         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6625
; DRAM_DQ[10]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6626
; DRAM_DQ[11]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6627
; DRAM_DQ[12]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6628
; DRAM_DQ[13]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6629
; DRAM_DQ[14]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6630
; DRAM_DQ[15]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6631
; DRAM_DQ[16]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6632
; DRAM_DQ[17]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6633
; DRAM_DQ[18]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6634
; DRAM_DQ[19]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6635
; DRAM_DQ[20]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6636
; DRAM_DQ[21]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6637
; DRAM_DQ[22]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6638
; DRAM_DQ[23]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6639
; DRAM_DQ[24]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6640
; DRAM_DQ[25]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6641
; DRAM_DQ[26]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6642
; DRAM_DQ[27]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6643
; DRAM_DQ[28]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6644
; DRAM_DQ[29]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6645
; DRAM_DQ[30]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6646
; DRAM_DQ[31]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6647
; FL_DQ[0]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6648
; FL_DQ[1]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6649
; FL_DQ[2]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6650
; FL_DQ[3]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6651
; FL_DQ[4]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6652
; FL_DQ[5]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6653
; FL_DQ[6]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6654
; FL_DQ[7]           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6655
; SRAM_DQ[0]         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6656
; SRAM_DQ[1]         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6657
; SRAM_DQ[2]         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6658
; SRAM_DQ[3]         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6659
; SRAM_DQ[4]         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6660
; SRAM_DQ[5]         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6661
; SRAM_DQ[6]         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6662
; SRAM_DQ[7]         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6663
; SRAM_DQ[8]         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6664
; SRAM_DQ[9]         ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6665
; SRAM_DQ[10]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6666
; SRAM_DQ[11]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6667
; SRAM_DQ[12]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6668
; SRAM_DQ[13]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6669
; SRAM_DQ[14]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6670
; SRAM_DQ[15]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6671
; PS2_DAT            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6672
; PS2_CLK            ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6673
; AUD_ADCLRCK        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6674
; AUD_DACLRCK        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6675
; AUD_BCLK           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6676
; LCD_DATA[0]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6677
; LCD_DATA[1]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6678
; LCD_DATA[2]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6679
; LCD_DATA[3]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6680
; LCD_DATA[4]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6681
; LCD_DATA[5]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6682
; LCD_DATA[6]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6683
; LCD_DATA[7]        ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6684
; SW[17]             ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6685
; CLOCK_50           ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6686
; SW[16]             ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6687
; SW[1]              ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6688
; SW[9]              ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6689
; KEY[1]             ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6690
; SW[8]              ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6691
; SW[0]              ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6692
; KEY[0]             ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6693
; SW[15]             ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6694
; SW[7]              ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6695
; SW[14]             ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6696
; SW[6]              ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6697
; SW[10]             ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6698
; SW[2]              ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6699
; KEY[2]             ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6700
; SW[13]             ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6701
; SW[5]              ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6702
; SW[12]             ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6703
; SW[4]              ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6704
; SW[3]              ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6705
; SW[11]             ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6706
; KEY[3]             ; Pass      ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
6707
+--------------------+-----------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+
6708
 
6709
 
6710
+---------------------------------------------------------------------------------------------+
6711
; Fitter Device Options                                                                       ;
6712
+------------------------------------------------------------------+--------------------------+
6713
; Option                                                           ; Setting                  ;
6714
+------------------------------------------------------------------+--------------------------+
6715
; Enable user-supplied start-up clock (CLKUSR)                     ; Off                      ;
6716
; Enable device-wide reset (DEV_CLRn)                              ; Off                      ;
6717
; Enable device-wide output enable (DEV_OE)                        ; Off                      ;
6718
; Enable INIT_DONE output                                          ; Off                      ;
6719
; Configuration scheme                                             ; Active Serial            ;
6720
; Error detection CRC                                              ; Off                      ;
6721
; Enable open drain on CRC_ERROR pin                               ; Off                      ;
6722
; Enable input tri-state on active configuration pins in user mode ; Off                      ;
6723
; Configuration Voltage Level                                      ; Auto                     ;
6724
; Force Configuration Voltage Level                                ; Off                      ;
6725
; nCEO                                                             ; As output driving ground ;
6726
; Data[0]                                                          ; As input tri-stated      ;
6727
; Data[1]/ASDO                                                     ; As input tri-stated      ;
6728
; Data[7..2]                                                       ; Unreserved               ;
6729
; FLASH_nCE/nCSO                                                   ; As input tri-stated      ;
6730
; Other Active Parallel pins                                       ; Unreserved               ;
6731
; DCLK                                                             ; As output driving ground ;
6732
; Base pin-out file on sameframe device                            ; Off                      ;
6733
+------------------------------------------------------------------+--------------------------+
6734
 
6735
 
6736
+------------------------------------+
6737
; Operating Settings and Conditions  ;
6738
+---------------------------+--------+
6739
; Setting                   ; Value  ;
6740
+---------------------------+--------+
6741
; Nominal Core Voltage      ; 1.20 V ;
6742
; Low Junction Temperature  ; 0 °C   ;
6743
; High Junction Temperature ; 85 °C  ;
6744
+---------------------------+--------+
6745
 
6746
 
6747
+-------------------------------------------------------------+
6748
; Estimated Delay Added for Hold Timing Summary               ;
6749
+-----------------+-----------------------+-------------------+
6750
; Source Clock(s) ; Destination Clock(s)  ; Delay Added in ns ;
6751
+-----------------+-----------------------+-------------------+
6752
; SW[16]          ; SW[16]                ; 678.7             ;
6753
; SW[16]          ; T80se:z80_inst|MREQ_n ; 452.8             ;
6754
; CLOCK_50        ; SW[16]                ; 93.5              ;
6755
; CLOCK_50        ; CLOCK_50              ; 26.4              ;
6756
+-----------------+-----------------------+-------------------+
6757
Note: For more information on problematic transfers, consider running the Fitter again with the Optimize hold timing option (Settings Menu) turned off.
6758
This will disable optimization of problematic paths and expose them for further analysis using either the TimeQuest Timing Analyzer or the Classic Timing Analyzer.
6759
 
6760
 
6761
+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
6762
; Estimated Delay Added for Hold Timing Details                                                                                                                                      ;
6763
+--------------------------------------------------------------------------------------------------+-------------------------------------------------------------+-------------------+
6764
; Source Register                                                                                  ; Destination Register                                        ; Delay Added in ns ;
6765
+--------------------------------------------------------------------------------------------------+-------------------------------------------------------------+-------------------+
6766
; T80se:z80_inst|MREQ_n                                                                            ; T80se:z80_inst|DI_Reg[0]                                    ; 5.421             ;
6767
; T80se:z80_inst|WR_n                                                                              ; \pinout_process:LEDR_sig[15]                                ; 4.592             ;
6768
; T80se:z80_inst|IORQ_n                                                                            ; \pinout_process:LEDR_sig[15]                                ; 4.592             ;
6769
; T80se:z80_inst|T80:u0|A[13]                                                                      ; T80se:z80_inst|T80:u0|IR[2]                                 ; 4.164             ;
6770
; T80se:z80_inst|T80:u0|A[5]                                                                       ; T80se:z80_inst|T80:u0|IR[2]                                 ; 4.164             ;
6771
; T80se:z80_inst|T80:u0|A[6]                                                                       ; T80se:z80_inst|T80:u0|IR[2]                                 ; 4.164             ;
6772
; T80se:z80_inst|T80:u0|A[7]                                                                       ; T80se:z80_inst|T80:u0|IR[2]                                 ; 4.164             ;
6773
; T80se:z80_inst|T80:u0|A[8]                                                                       ; T80se:z80_inst|T80:u0|IR[2]                                 ; 4.164             ;
6774
; T80se:z80_inst|T80:u0|A[9]                                                                       ; T80se:z80_inst|T80:u0|IR[2]                                 ; 4.164             ;
6775
; T80se:z80_inst|RD_n                                                                              ; T80se:z80_inst|T80:u0|IR[2]                                 ; 4.164             ;
6776
; T80se:z80_inst|T80:u0|A[10]                                                                      ; T80se:z80_inst|T80:u0|IR[2]                                 ; 4.164             ;
6777
; T80se:z80_inst|T80:u0|A[11]                                                                      ; T80se:z80_inst|T80:u0|IR[2]                                 ; 4.164             ;
6778
; T80se:z80_inst|T80:u0|A[12]                                                                      ; T80se:z80_inst|T80:u0|IR[2]                                 ; 4.164             ;
6779
; T80se:z80_inst|T80:u0|A[14]                                                                      ; T80se:z80_inst|T80:u0|IR[2]                                 ; 4.164             ;
6780
; T80se:z80_inst|T80:u0|A[15]                                                                      ; T80se:z80_inst|T80:u0|IR[2]                                 ; 4.164             ;
6781
; T80se:z80_inst|T80:u0|A[1]                                                                       ; T80se:z80_inst|DI_Reg[0]                                    ; 4.035             ;
6782
; T80se:z80_inst|T80:u0|A[0]                                                                       ; T80se:z80_inst|DI_Reg[0]                                    ; 4.035             ;
6783
; T80se:z80_inst|T80:u0|A[2]                                                                       ; T80se:z80_inst|DI_Reg[0]                                    ; 4.035             ;
6784
; \random:rand_temp[0]                                                                             ; T80se:z80_inst|DI_Reg[0]                                    ; 3.989             ;
6785
; \random:rand_temp[2]                                                                             ; T80se:z80_inst|DI_Reg[2]                                    ; 3.989             ;
6786
; \random:rand_temp[3]                                                                             ; T80se:z80_inst|DI_Reg[3]                                    ; 3.989             ;
6787
; \random:rand_temp[5]                                                                             ; T80se:z80_inst|DI_Reg[5]                                    ; 3.989             ;
6788
; \random:rand_temp[7]                                                                             ; T80se:z80_inst|DI_Reg[7]                                    ; 3.966             ;
6789
; T80se:z80_inst|T80:u0|A[3]                                                                       ; T80se:z80_inst|DI_Reg[7]                                    ; 3.847             ;
6790
; T80se:z80_inst|T80:u0|A[4]                                                                       ; T80se:z80_inst|DI_Reg[7]                                    ; 3.847             ;
6791
; ps2_ascii_reg1[6]                                                                                ; T80se:z80_inst|DI_Reg[6]                                    ; 3.835             ;
6792
; ps2_ascii_reg1[5]                                                                                ; T80se:z80_inst|T80:u0|IR[5]                                 ; 3.835             ;
6793
; ps2_ascii_reg1[2]                                                                                ; T80se:z80_inst|DI_Reg[2]                                    ; 3.812             ;
6794
; \random:rand_temp[1]                                                                             ; T80se:z80_inst|DI_Reg[1]                                    ; 3.706             ;
6795
; \random:rand_temp[4]                                                                             ; T80se:z80_inst|DI_Reg[4]                                    ; 3.624             ;
6796
; \random:rand_temp[6]                                                                             ; T80se:z80_inst|DI_Reg[6]                                    ; 3.614             ;
6797
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set                                                  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set             ; 3.603             ;
6798
; ps2_ascii_reg1[7]                                                                                ; T80se:z80_inst|DI_Reg[7]                                    ; 3.589             ;
6799
; ps2_ascii_reg1[4]                                                                                ; T80se:z80_inst|DI_Reg[4]                                    ; 3.589             ;
6800
; \random:rand_temp[9]                                                                             ; T80se:z80_inst|DI_Reg[1]                                    ; 3.466             ;
6801
; \random:rand_temp[11]                                                                            ; T80se:z80_inst|T80:u0|IR[3]                                 ; 3.327             ;
6802
; \random:rand_temp[15]                                                                            ; T80se:z80_inst|DI_Reg[7]                                    ; 3.284             ;
6803
; ps2_ascii_reg1[0]                                                                                ; T80se:z80_inst|DI_Reg[0]                                    ; 3.257             ;
6804
; ps2_ascii_reg1[1]                                                                                ; T80se:z80_inst|DI_Reg[1]                                    ; 3.247             ;
6805
; \random:rand_temp[8]                                                                             ; T80se:z80_inst|DI_Reg[0]                                    ; 3.179             ;
6806
; \random:rand_temp[12]                                                                            ; T80se:z80_inst|DI_Reg[4]                                    ; 3.096             ;
6807
; ps2_ascii_reg1[3]                                                                                ; T80se:z80_inst|T80:u0|IR[3]                                 ; 3.032             ;
6808
; clk_div:clkdiv_inst|clock_25Mhz_int                                                              ; T80se:z80_inst|T80:u0|IR[5]                                 ; 2.854             ;
6809
; \random:rand_temp[10]                                                                            ; T80se:z80_inst|DI_Reg[2]                                    ; 2.734             ;
6810
; \random:rand_temp[13]                                                                            ; T80se:z80_inst|T80:u0|IR[5]                                 ; 2.699             ;
6811
; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|out_address_reg_a[0] ; T80se:z80_inst|DI_Reg[1]                                    ; 2.669             ;
6812
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered                                      ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 2.516             ;
6813
; LCD:lcd_inst|clk_400hz_enable                                                                    ; LCD:lcd_inst|state.drop_LCD_EN                              ; 2.500             ;
6814
; T80se:z80_inst|T80:u0|DO[5]                                                                      ; lcdvram[16][5]                                              ; 2.092             ;
6815
; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a9         ; T80se:z80_inst|DI_Reg[1]                                    ; 2.088             ;
6816
; T80se:z80_inst|T80:u0|DO[2]                                                                      ; lcdvram[24][2]                                              ; 1.911             ;
6817
; clk_div:clkdiv_inst|clock_10Khz_int                                                              ; clk_div:clkdiv_inst|clock_10Khz_int                         ; 1.897             ;
6818
; T80se:z80_inst|T80:u0|DO[7]                                                                      ; lcdvram[16][7]                                              ; 1.855             ;
6819
; T80se:z80_inst|T80:u0|DO[3]                                                                      ; lcdvram[16][3]                                              ; 1.818             ;
6820
; T80se:z80_inst|T80:u0|DO[6]                                                                      ; lcdvram[16][6]                                              ; 1.794             ;
6821
; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a12        ; T80se:z80_inst|DI_Reg[4]                                    ; 1.772             ;
6822
; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a11        ; T80se:z80_inst|T80:u0|IR[3]                                 ; 1.766             ;
6823
; T80se:z80_inst|T80:u0|DO[0]                                                                      ; lcdvram[16][0]                                              ; 1.753             ;
6824
; T80se:z80_inst|T80:u0|DO[4]                                                                      ; lcdvram[16][4]                                              ; 1.646             ;
6825
; T80se:z80_inst|T80:u0|DO[1]                                                                      ; lcdvram[16][1]                                              ; 1.636             ;
6826
; SW[9]                                                                                            ; T80se:z80_inst|DI_Reg[1]                                    ; 1.605             ;
6827
; KEY[1]                                                                                           ; T80se:z80_inst|DI_Reg[1]                                    ; 1.605             ;
6828
; clk_div:clkdiv_inst|clock_100hz_int                                                              ; ps2_ascii_reg1[4]                                           ; 1.595             ;
6829
; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a0         ; T80se:z80_inst|DI_Reg[0]                                    ; 1.561             ;
6830
; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a15        ; T80se:z80_inst|DI_Reg[7]                                    ; 1.518             ;
6831
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[5]                                                  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.500             ;
6832
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[3]                                                  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.500             ;
6833
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[2]                                                  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.500             ;
6834
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[0]                                                  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.486             ;
6835
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[1]                                                  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.486             ;
6836
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[6]                                                  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.486             ;
6837
; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a13        ; T80se:z80_inst|T80:u0|IR[5]                                 ; 1.402             ;
6838
; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a10        ; T80se:z80_inst|DI_Reg[2]                                    ; 1.402             ;
6839
; SW[1]                                                                                            ; T80se:z80_inst|DI_Reg[1]                                    ; 1.376             ;
6840
; SRAM_DQ[1]                                                                                       ; T80se:z80_inst|DI_Reg[1]                                    ; 1.376             ;
6841
; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a8         ; T80se:z80_inst|DI_Reg[0]                                    ; 1.369             ;
6842
; SW[0]                                                                                            ; T80se:z80_inst|DI_Reg[0]                                    ; 1.332             ;
6843
; KEY[0]                                                                                           ; T80se:z80_inst|DI_Reg[0]                                    ; 1.332             ;
6844
; SW[11]                                                                                           ; T80se:z80_inst|T80:u0|IR[3]                                 ; 1.314             ;
6845
; KEY[3]                                                                                           ; T80se:z80_inst|T80:u0|IR[3]                                 ; 1.314             ;
6846
; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a3         ; T80se:z80_inst|T80:u0|IR[3]                                 ; 1.311             ;
6847
; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a14        ; T80se:z80_inst|DI_Reg[6]                                    ; 1.276             ;
6848
; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a1         ; T80se:z80_inst|DI_Reg[1]                                    ; 1.276             ;
6849
; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a2         ; T80se:z80_inst|DI_Reg[2]                                    ; 1.207             ;
6850
; SW[3]                                                                                            ; T80se:z80_inst|T80:u0|IR[3]                                 ; 1.136             ;
6851
; SRAM_DQ[3]                                                                                       ; T80se:z80_inst|T80:u0|IR[3]                                 ; 1.136             ;
6852
; SW[2]                                                                                            ; T80se:z80_inst|DI_Reg[2]                                    ; 1.133             ;
6853
; KEY[2]                                                                                           ; T80se:z80_inst|DI_Reg[2]                                    ; 1.133             ;
6854
; SRAM_DQ[6]                                                                                       ; T80se:z80_inst|DI_Reg[6]                                    ; 1.119             ;
6855
; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a6         ; T80se:z80_inst|DI_Reg[6]                                    ; 1.119             ;
6856
; SW[8]                                                                                            ; T80se:z80_inst|DI_Reg[0]                                    ; 1.113             ;
6857
; SRAM_DQ[0]                                                                                       ; T80se:z80_inst|DI_Reg[0]                                    ; 1.113             ;
6858
; SRAM_DQ[7]                                                                                       ; T80se:z80_inst|DI_Reg[7]                                    ; 1.081             ;
6859
; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a7         ; T80se:z80_inst|DI_Reg[7]                                    ; 1.081             ;
6860
; ps2_read                                                                                         ; ps2_read                                                    ; 1.073             ;
6861
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_ready                                                 ; ps2_read                                                    ; 1.073             ;
6862
; next_char_sig[2]                                                                                 ; LCD:lcd_inst|data_bus_value[2]                              ; 1.040             ;
6863
; SW[17]                                                                                           ; LCD:lcd_inst|char_count_sig[0]                              ; 1.034             ;
6864
; LCD:lcd_inst|LCD_EN                                                                              ; LCD:lcd_inst|LCD_EN                                         ; 1.024             ;
6865
; LCD:lcd_inst|state.drop_LCD_EN                                                                   ; LCD:lcd_inst|LCD_EN                                         ; 1.024             ;
6866
+--------------------------------------------------------------------------------------------------+-------------------------------------------------------------+-------------------+
6867
Note: This table only shows the top 100 path(s) that have the largest delay added for hold.
6868
 
6869
 
6870
+-----------------+
6871
; Fitter Messages ;
6872
+-----------------+
6873
Warning (20028): Parallel compilation is not licensed and has been disabled
6874
Info (119006): Selected device EP4CE115F29C7 for design "073DE2115e"
6875
Info (21077): Low junction temperature is 0 degrees C
6876
Info (21077): High junction temperature is 85 degrees C
6877
Info (171001): Fitter is performing a Fast Fit compilation, which decreases Fitter effort to reduce compilation time
6878
Warning (292013): Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature.
6879
Info (176444): Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices
6880
    Info (176445): Device EP4CE40F29C7 is compatible
6881
    Info (176445): Device EP4CE40F29I7 is compatible
6882
    Info (176445): Device EP4CE30F29C7 is compatible
6883
    Info (176445): Device EP4CE30F29I7 is compatible
6884
    Info (176445): Device EP4CE55F29C7 is compatible
6885
    Info (176445): Device EP4CE55F29I7 is compatible
6886
    Info (176445): Device EP4CE75F29C7 is compatible
6887
    Info (176445): Device EP4CE75F29I7 is compatible
6888
    Info (176445): Device EP4CE115F29I7 is compatible
6889
Info (169124): Fitter converted 5 user pins into dedicated programming pins
6890
    Info (169125): Pin ~ALTERA_ASDO_DATA1~ is reserved at location F4
6891
    Info (169125): Pin ~ALTERA_FLASH_nCE_nCSO~ is reserved at location E2
6892
    Info (169125): Pin ~ALTERA_DCLK~ is reserved at location P3
6893
    Info (169125): Pin ~ALTERA_DATA0~ is reserved at location N7
6894
    Info (169125): Pin ~ALTERA_nCEO~ is reserved at location P28
6895
Warning (15714): Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details
6896
Info (176045): Design uses memory blocks. Violating setup or hold times of memory block address registers for either read or write operations could cause memory contents to be corrupted. Make sure that all memory block address registers meet the setup and hold time requirements.
6897
Warning (335093): TimeQuest Timing Analyzer is analyzing 256 combinational loops as latches.
6898
Critical Warning (332012): Synopsys Design Constraints File file not found: '073DE2115e.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design.
6899
Info (332144): No user constrained base clocks found in the design
6900
Info (332097): The following timing edges are non-unate.  TimeQuest will assume pos-unate behavior for these edges in the clock network.
6901
    Info (332098): Cell: Clk_Z80  from: datac  to: combout
6902
Info (332143): No user constrained clock uncertainty found in the design. Calling "derive_clock_uncertainty"
6903
Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties.
6904
Info (332128): Timing requirements not specified -- optimizing circuit to achieve the following default global requirements
6905
    Info (332127): Assuming a default timing requirement
6906
Info (332111): Found 13 clocks
6907
    Info (332111):   Period   Clock Name
6908
    Info (332111): ======== ============
6909
    Info (332111):    1.000 clk_div:clkdiv_inst|clock_1Khz_int
6910
    Info (332111):    1.000 clk_div:clkdiv_inst|clock_1Mhz_int
6911
    Info (332111):    1.000 clk_div:clkdiv_inst|clock_10Khz_int
6912
    Info (332111):    1.000 clk_div:clkdiv_inst|clock_25MHz
6913
    Info (332111):    1.000 clk_div:clkdiv_inst|clock_25Mhz_int
6914
    Info (332111):    1.000 clk_div:clkdiv_inst|clock_100Hz
6915
    Info (332111):    1.000 clk_div:clkdiv_inst|clock_100Khz_int
6916
    Info (332111):    1.000     CLOCK_50
6917
    Info (332111):    1.000 LCD:lcd_inst|clk_400hz_enable
6918
    Info (332111):    1.000 ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered
6919
    Info (332111):    1.000 ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set
6920
    Info (332111):    1.000       SW[16]
6921
    Info (332111):    1.000 T80se:z80_inst|MREQ_n
6922
Info (176353): Automatically promoted node CLOCK_50~input (placed in PIN Y2 (CLK2, DIFFCLK_1p))
6923
    Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G4
6924
    Info (176356): Following destination nodes may be non-global or may not use global or regional clocks
6925
        Info (176357): Destination node clk_div:clkdiv_inst|clock_10MHz
6926
        Info (176357): Destination node clk_div:clkdiv_inst|clock_357Mhz
6927
Info (176353): Automatically promoted node Clk_Z80
6928
    Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
6929
    Info (176356): Following destination nodes may be non-global or may not use global or regional clocks
6930
        Info (176357): Destination node T80se:z80_inst|WR_n
6931
        Info (176357): Destination node T80se:z80_inst|T80:u0|A[0]
6932
        Info (176357): Destination node T80se:z80_inst|T80:u0|A[1]
6933
        Info (176357): Destination node T80se:z80_inst|T80:u0|A[2]
6934
        Info (176357): Destination node T80se:z80_inst|T80:u0|A[3]
6935
        Info (176357): Destination node T80se:z80_inst|T80:u0|A[4]
6936
        Info (176357): Destination node T80se:z80_inst|T80:u0|A[5]
6937
        Info (176357): Destination node T80se:z80_inst|T80:u0|A[6]
6938
        Info (176357): Destination node T80se:z80_inst|T80:u0|A[7]
6939
        Info (176357): Destination node T80se:z80_inst|T80:u0|A[8]
6940
        Info (176358): Non-global destination nodes limited to 10 nodes
6941
Info (176353): Automatically promoted node clk_div:clkdiv_inst|clock_25MHz
6942
    Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
6943
    Info (176356): Following destination nodes may be non-global or may not use global or regional clocks
6944
        Info (176357): Destination node VGA_CLK~output
6945
Info (176353): Automatically promoted node ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered
6946
    Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
6947
    Info (176356): Following destination nodes may be non-global or may not use global or regional clocks
6948
        Info (176357): Destination node ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered~1
6949
        Info (176357): Destination node ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered~2
6950
        Info (176357): Destination node ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered~3
6951
Info (176353): Automatically promoted node Decoder0~17
6952
    Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
6953
Info (176353): Automatically promoted node Decoder0~19
6954
    Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
6955
Info (176353): Automatically promoted node Decoder0~20
6956
    Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
6957
Info (176353): Automatically promoted node Decoder0~21
6958
    Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
6959
Info (176353): Automatically promoted node Decoder0~23
6960
    Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
6961
Info (176353): Automatically promoted node Decoder0~25
6962
    Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
6963
Info (176353): Automatically promoted node Decoder0~26
6964
    Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
6965
Info (176353): Automatically promoted node Decoder0~27
6966
    Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
6967
Info (176353): Automatically promoted node Decoder0~29
6968
    Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
6969
Info (176353): Automatically promoted node Decoder0~31
6970
    Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
6971
Info (176353): Automatically promoted node Decoder0~32
6972
    Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
6973
Info (176353): Automatically promoted node Decoder0~33
6974
    Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
6975
Info (176353): Automatically promoted node Decoder0~35
6976
    Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
6977
Info (176353): Automatically promoted node Decoder0~37
6978
    Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
6979
Info (176353): Automatically promoted node Decoder0~38
6980
    Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
6981
Info (176353): Automatically promoted node Decoder0~39
6982
    Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
6983
Info (176233): Starting register packing
6984
Info (176235): Finished register packing
6985
    Extra Info (176219): No registers were packed into other blocks
6986
Warning (15709): Ignored I/O standard assignments to the following nodes
6987
    Warning (15710): Ignored I/O standard assignment to node "CLOCK2_50"
6988
    Warning (15710): Ignored I/O standard assignment to node "CLOCK3_50"
6989
    Warning (15710): Ignored I/O standard assignment to node "EEP_I2C_SCLK"
6990
    Warning (15710): Ignored I/O standard assignment to node "EEP_I2C_SDAT"
6991
    Warning (15710): Ignored I/O standard assignment to node "ENET0_GTX_CLK"
6992
    Warning (15710): Ignored I/O standard assignment to node "ENET0_INT_N"
6993
    Warning (15710): Ignored I/O standard assignment to node "ENET0_LINK100"
6994
    Warning (15710): Ignored I/O standard assignment to node "ENET0_MDC"
6995
    Warning (15710): Ignored I/O standard assignment to node "ENET0_MDIO"
6996
    Warning (15710): Ignored I/O standard assignment to node "ENET0_RST_N"
6997
    Warning (15710): Ignored I/O standard assignment to node "ENET0_RX_CLK"
6998
    Warning (15710): Ignored I/O standard assignment to node "ENET0_RX_COL"
6999
    Warning (15710): Ignored I/O standard assignment to node "ENET0_RX_CRS"
7000
    Warning (15710): Ignored I/O standard assignment to node "ENET0_RX_DATA[0]"
7001
    Warning (15710): Ignored I/O standard assignment to node "ENET0_RX_DATA[1]"
7002
    Warning (15710): Ignored I/O standard assignment to node "ENET0_RX_DATA[2]"
7003
    Warning (15710): Ignored I/O standard assignment to node "ENET0_RX_DATA[3]"
7004
    Warning (15710): Ignored I/O standard assignment to node "ENET0_RX_DV"
7005
    Warning (15710): Ignored I/O standard assignment to node "ENET0_RX_ER"
7006
    Warning (15710): Ignored I/O standard assignment to node "ENET0_TX_CLK"
7007
    Warning (15710): Ignored I/O standard assignment to node "ENET0_TX_DATA[0]"
7008
    Warning (15710): Ignored I/O standard assignment to node "ENET0_TX_DATA[1]"
7009
    Warning (15710): Ignored I/O standard assignment to node "ENET0_TX_DATA[2]"
7010
    Warning (15710): Ignored I/O standard assignment to node "ENET0_TX_DATA[3]"
7011
    Warning (15710): Ignored I/O standard assignment to node "ENET0_TX_EN"
7012
    Warning (15710): Ignored I/O standard assignment to node "ENET0_TX_ER"
7013
    Warning (15710): Ignored I/O standard assignment to node "ENET1_GTX_CLK"
7014
    Warning (15710): Ignored I/O standard assignment to node "ENET1_INT_N"
7015
    Warning (15710): Ignored I/O standard assignment to node "ENET1_LINK100"
7016
    Warning (15710): Ignored I/O standard assignment to node "ENET1_MDC"
7017
    Warning (15710): Ignored I/O standard assignment to node "ENET1_MDIO"
7018
    Warning (15710): Ignored I/O standard assignment to node "ENET1_RST_N"
7019
    Warning (15710): Ignored I/O standard assignment to node "ENET1_RX_CLK"
7020
    Warning (15710): Ignored I/O standard assignment to node "ENET1_RX_COL"
7021
    Warning (15710): Ignored I/O standard assignment to node "ENET1_RX_CRS"
7022
    Warning (15710): Ignored I/O standard assignment to node "ENET1_RX_DATA[0]"
7023
    Warning (15710): Ignored I/O standard assignment to node "ENET1_RX_DATA[1]"
7024
    Warning (15710): Ignored I/O standard assignment to node "ENET1_RX_DATA[2]"
7025
    Warning (15710): Ignored I/O standard assignment to node "ENET1_RX_DATA[3]"
7026
    Warning (15710): Ignored I/O standard assignment to node "ENET1_RX_DV"
7027
    Warning (15710): Ignored I/O standard assignment to node "ENET1_RX_ER"
7028
    Warning (15710): Ignored I/O standard assignment to node "ENET1_TX_CLK"
7029
    Warning (15710): Ignored I/O standard assignment to node "ENET1_TX_DATA[0]"
7030
    Warning (15710): Ignored I/O standard assignment to node "ENET1_TX_DATA[1]"
7031
    Warning (15710): Ignored I/O standard assignment to node "ENET1_TX_DATA[2]"
7032
    Warning (15710): Ignored I/O standard assignment to node "ENET1_TX_DATA[3]"
7033
    Warning (15710): Ignored I/O standard assignment to node "ENET1_TX_EN"
7034
    Warning (15710): Ignored I/O standard assignment to node "ENET1_TX_ER"
7035
    Warning (15710): Ignored I/O standard assignment to node "ENETCLK_25"
7036
    Warning (15710): Ignored I/O standard assignment to node "EX_IO[0]"
7037
    Warning (15710): Ignored I/O standard assignment to node "EX_IO[1]"
7038
    Warning (15710): Ignored I/O standard assignment to node "EX_IO[2]"
7039
    Warning (15710): Ignored I/O standard assignment to node "EX_IO[3]"
7040
    Warning (15710): Ignored I/O standard assignment to node "EX_IO[4]"
7041
    Warning (15710): Ignored I/O standard assignment to node "EX_IO[5]"
7042
    Warning (15710): Ignored I/O standard assignment to node "EX_IO[6]"
7043
    Warning (15710): Ignored I/O standard assignment to node "I2C_SCLK"
7044
    Warning (15710): Ignored I/O standard assignment to node "I2C_SDAT"
7045
    Warning (15710): Ignored I/O standard assignment to node "IRDA_RXD"
7046
    Warning (15710): Ignored I/O standard assignment to node "OTG_ADDR[0]"
7047
    Warning (15710): Ignored I/O standard assignment to node "OTG_ADDR[1]"
7048
    Warning (15710): Ignored I/O standard assignment to node "OTG_CS_N"
7049
    Warning (15710): Ignored I/O standard assignment to node "OTG_DATA[0]"
7050
    Warning (15710): Ignored I/O standard assignment to node "OTG_DATA[10]"
7051
    Warning (15710): Ignored I/O standard assignment to node "OTG_DATA[11]"
7052
    Warning (15710): Ignored I/O standard assignment to node "OTG_DATA[12]"
7053
    Warning (15710): Ignored I/O standard assignment to node "OTG_DATA[13]"
7054
    Warning (15710): Ignored I/O standard assignment to node "OTG_DATA[14]"
7055
    Warning (15710): Ignored I/O standard assignment to node "OTG_DATA[15]"
7056
    Warning (15710): Ignored I/O standard assignment to node "OTG_DATA[1]"
7057
    Warning (15710): Ignored I/O standard assignment to node "OTG_DATA[2]"
7058
    Warning (15710): Ignored I/O standard assignment to node "OTG_DATA[3]"
7059
    Warning (15710): Ignored I/O standard assignment to node "OTG_DATA[4]"
7060
    Warning (15710): Ignored I/O standard assignment to node "OTG_DATA[5]"
7061
    Warning (15710): Ignored I/O standard assignment to node "OTG_DATA[6]"
7062
    Warning (15710): Ignored I/O standard assignment to node "OTG_DATA[7]"
7063
    Warning (15710): Ignored I/O standard assignment to node "OTG_DATA[8]"
7064
    Warning (15710): Ignored I/O standard assignment to node "OTG_DATA[9]"
7065
    Warning (15710): Ignored I/O standard assignment to node "OTG_INT"
7066
    Warning (15710): Ignored I/O standard assignment to node "OTG_RD_N"
7067
    Warning (15710): Ignored I/O standard assignment to node "OTG_RST_N"
7068
    Warning (15710): Ignored I/O standard assignment to node "OTG_WE_N"
7069
    Warning (15710): Ignored I/O standard assignment to node "SD_WP_N"
7070
    Warning (15710): Ignored I/O standard assignment to node "SMA_CLKIN"
7071
    Warning (15710): Ignored I/O standard assignment to node "SMA_CLKOUT"
7072
    Warning (15710): Ignored I/O standard assignment to node "TD_CLK27"
7073
    Warning (15710): Ignored I/O standard assignment to node "TD_DATA[0]"
7074
    Warning (15710): Ignored I/O standard assignment to node "TD_DATA[1]"
7075
    Warning (15710): Ignored I/O standard assignment to node "TD_DATA[2]"
7076
    Warning (15710): Ignored I/O standard assignment to node "TD_DATA[3]"
7077
    Warning (15710): Ignored I/O standard assignment to node "TD_DATA[4]"
7078
    Warning (15710): Ignored I/O standard assignment to node "TD_DATA[5]"
7079
    Warning (15710): Ignored I/O standard assignment to node "TD_DATA[6]"
7080
    Warning (15710): Ignored I/O standard assignment to node "TD_DATA[7]"
7081
    Warning (15710): Ignored I/O standard assignment to node "TD_HS"
7082
    Warning (15710): Ignored I/O standard assignment to node "TD_RESET_N"
7083
    Warning (15710): Ignored I/O standard assignment to node "TD_VS"
7084
Warning (15705): Ignored locations or region assignments to the following nodes
7085
    Warning (15706): Node "CLOCK2_50" is assigned to location or region, but does not exist in design
7086
    Warning (15706): Node "CLOCK3_50" is assigned to location or region, but does not exist in design
7087
    Warning (15706): Node "EEP_I2C_SCLK" is assigned to location or region, but does not exist in design
7088
    Warning (15706): Node "EEP_I2C_SDAT" is assigned to location or region, but does not exist in design
7089
    Warning (15706): Node "ENET0_GTX_CLK" is assigned to location or region, but does not exist in design
7090
    Warning (15706): Node "ENET0_INT_N" is assigned to location or region, but does not exist in design
7091
    Warning (15706): Node "ENET0_LINK100" is assigned to location or region, but does not exist in design
7092
    Warning (15706): Node "ENET0_MDC" is assigned to location or region, but does not exist in design
7093
    Warning (15706): Node "ENET0_MDIO" is assigned to location or region, but does not exist in design
7094
    Warning (15706): Node "ENET0_RST_N" is assigned to location or region, but does not exist in design
7095
    Warning (15706): Node "ENET0_RX_CLK" is assigned to location or region, but does not exist in design
7096
    Warning (15706): Node "ENET0_RX_COL" is assigned to location or region, but does not exist in design
7097
    Warning (15706): Node "ENET0_RX_CRS" is assigned to location or region, but does not exist in design
7098
    Warning (15706): Node "ENET0_RX_DATA[0]" is assigned to location or region, but does not exist in design
7099
    Warning (15706): Node "ENET0_RX_DATA[1]" is assigned to location or region, but does not exist in design
7100
    Warning (15706): Node "ENET0_RX_DATA[2]" is assigned to location or region, but does not exist in design
7101
    Warning (15706): Node "ENET0_RX_DATA[3]" is assigned to location or region, but does not exist in design
7102
    Warning (15706): Node "ENET0_RX_DV" is assigned to location or region, but does not exist in design
7103
    Warning (15706): Node "ENET0_RX_ER" is assigned to location or region, but does not exist in design
7104
    Warning (15706): Node "ENET0_TX_CLK" is assigned to location or region, but does not exist in design
7105
    Warning (15706): Node "ENET0_TX_DATA[0]" is assigned to location or region, but does not exist in design
7106
    Warning (15706): Node "ENET0_TX_DATA[1]" is assigned to location or region, but does not exist in design
7107
    Warning (15706): Node "ENET0_TX_DATA[2]" is assigned to location or region, but does not exist in design
7108
    Warning (15706): Node "ENET0_TX_DATA[3]" is assigned to location or region, but does not exist in design
7109
    Warning (15706): Node "ENET0_TX_EN" is assigned to location or region, but does not exist in design
7110
    Warning (15706): Node "ENET0_TX_ER" is assigned to location or region, but does not exist in design
7111
    Warning (15706): Node "ENET1_GTX_CLK" is assigned to location or region, but does not exist in design
7112
    Warning (15706): Node "ENET1_INT_N" is assigned to location or region, but does not exist in design
7113
    Warning (15706): Node "ENET1_LINK100" is assigned to location or region, but does not exist in design
7114
    Warning (15706): Node "ENET1_MDC" is assigned to location or region, but does not exist in design
7115
    Warning (15706): Node "ENET1_MDIO" is assigned to location or region, but does not exist in design
7116
    Warning (15706): Node "ENET1_RST_N" is assigned to location or region, but does not exist in design
7117
    Warning (15706): Node "ENET1_RX_CLK" is assigned to location or region, but does not exist in design
7118
    Warning (15706): Node "ENET1_RX_COL" is assigned to location or region, but does not exist in design
7119
    Warning (15706): Node "ENET1_RX_CRS" is assigned to location or region, but does not exist in design
7120
    Warning (15706): Node "ENET1_RX_DATA[0]" is assigned to location or region, but does not exist in design
7121
    Warning (15706): Node "ENET1_RX_DATA[1]" is assigned to location or region, but does not exist in design
7122
    Warning (15706): Node "ENET1_RX_DATA[2]" is assigned to location or region, but does not exist in design
7123
    Warning (15706): Node "ENET1_RX_DATA[3]" is assigned to location or region, but does not exist in design
7124
    Warning (15706): Node "ENET1_RX_DV" is assigned to location or region, but does not exist in design
7125
    Warning (15706): Node "ENET1_RX_ER" is assigned to location or region, but does not exist in design
7126
    Warning (15706): Node "ENET1_TX_CLK" is assigned to location or region, but does not exist in design
7127
    Warning (15706): Node "ENET1_TX_DATA[0]" is assigned to location or region, but does not exist in design
7128
    Warning (15706): Node "ENET1_TX_DATA[1]" is assigned to location or region, but does not exist in design
7129
    Warning (15706): Node "ENET1_TX_DATA[2]" is assigned to location or region, but does not exist in design
7130
    Warning (15706): Node "ENET1_TX_DATA[3]" is assigned to location or region, but does not exist in design
7131
    Warning (15706): Node "ENET1_TX_EN" is assigned to location or region, but does not exist in design
7132
    Warning (15706): Node "ENET1_TX_ER" is assigned to location or region, but does not exist in design
7133
    Warning (15706): Node "ENETCLK_25" is assigned to location or region, but does not exist in design
7134
    Warning (15706): Node "EX_IO[0]" is assigned to location or region, but does not exist in design
7135
    Warning (15706): Node "EX_IO[1]" is assigned to location or region, but does not exist in design
7136
    Warning (15706): Node "EX_IO[2]" is assigned to location or region, but does not exist in design
7137
    Warning (15706): Node "EX_IO[3]" is assigned to location or region, but does not exist in design
7138
    Warning (15706): Node "EX_IO[4]" is assigned to location or region, but does not exist in design
7139
    Warning (15706): Node "EX_IO[5]" is assigned to location or region, but does not exist in design
7140
    Warning (15706): Node "EX_IO[6]" is assigned to location or region, but does not exist in design
7141
    Warning (15706): Node "I2C_SCLK" is assigned to location or region, but does not exist in design
7142
    Warning (15706): Node "I2C_SDAT" is assigned to location or region, but does not exist in design
7143
    Warning (15706): Node "IRDA_RXD" is assigned to location or region, but does not exist in design
7144
    Warning (15706): Node "OTG_ADDR[0]" is assigned to location or region, but does not exist in design
7145
    Warning (15706): Node "OTG_ADDR[1]" is assigned to location or region, but does not exist in design
7146
    Warning (15706): Node "OTG_CS_N" is assigned to location or region, but does not exist in design
7147
    Warning (15706): Node "OTG_DATA[0]" is assigned to location or region, but does not exist in design
7148
    Warning (15706): Node "OTG_DATA[10]" is assigned to location or region, but does not exist in design
7149
    Warning (15706): Node "OTG_DATA[11]" is assigned to location or region, but does not exist in design
7150
    Warning (15706): Node "OTG_DATA[12]" is assigned to location or region, but does not exist in design
7151
    Warning (15706): Node "OTG_DATA[13]" is assigned to location or region, but does not exist in design
7152
    Warning (15706): Node "OTG_DATA[14]" is assigned to location or region, but does not exist in design
7153
    Warning (15706): Node "OTG_DATA[15]" is assigned to location or region, but does not exist in design
7154
    Warning (15706): Node "OTG_DATA[1]" is assigned to location or region, but does not exist in design
7155
    Warning (15706): Node "OTG_DATA[2]" is assigned to location or region, but does not exist in design
7156
    Warning (15706): Node "OTG_DATA[3]" is assigned to location or region, but does not exist in design
7157
    Warning (15706): Node "OTG_DATA[4]" is assigned to location or region, but does not exist in design
7158
    Warning (15706): Node "OTG_DATA[5]" is assigned to location or region, but does not exist in design
7159
    Warning (15706): Node "OTG_DATA[6]" is assigned to location or region, but does not exist in design
7160
    Warning (15706): Node "OTG_DATA[7]" is assigned to location or region, but does not exist in design
7161
    Warning (15706): Node "OTG_DATA[8]" is assigned to location or region, but does not exist in design
7162
    Warning (15706): Node "OTG_DATA[9]" is assigned to location or region, but does not exist in design
7163
    Warning (15706): Node "OTG_INT" is assigned to location or region, but does not exist in design
7164
    Warning (15706): Node "OTG_RD_N" is assigned to location or region, but does not exist in design
7165
    Warning (15706): Node "OTG_RST_N" is assigned to location or region, but does not exist in design
7166
    Warning (15706): Node "OTG_WE_N" is assigned to location or region, but does not exist in design
7167
    Warning (15706): Node "SD_WP_N" is assigned to location or region, but does not exist in design
7168
    Warning (15706): Node "SMA_CLKIN" is assigned to location or region, but does not exist in design
7169
    Warning (15706): Node "SMA_CLKOUT" is assigned to location or region, but does not exist in design
7170
    Warning (15706): Node "TD_CLK27" is assigned to location or region, but does not exist in design
7171
    Warning (15706): Node "TD_DATA[0]" is assigned to location or region, but does not exist in design
7172
    Warning (15706): Node "TD_DATA[1]" is assigned to location or region, but does not exist in design
7173
    Warning (15706): Node "TD_DATA[2]" is assigned to location or region, but does not exist in design
7174
    Warning (15706): Node "TD_DATA[3]" is assigned to location or region, but does not exist in design
7175
    Warning (15706): Node "TD_DATA[4]" is assigned to location or region, but does not exist in design
7176
    Warning (15706): Node "TD_DATA[5]" is assigned to location or region, but does not exist in design
7177
    Warning (15706): Node "TD_DATA[6]" is assigned to location or region, but does not exist in design
7178
    Warning (15706): Node "TD_DATA[7]" is assigned to location or region, but does not exist in design
7179
    Warning (15706): Node "TD_HS" is assigned to location or region, but does not exist in design
7180
    Warning (15706): Node "TD_RESET_N" is assigned to location or region, but does not exist in design
7181
    Warning (15706): Node "TD_VS" is assigned to location or region, but does not exist in design
7182
Info (171121): Fitter preparation operations ending: elapsed time is 00:01:23
7183
Info (170189): Fitter placement preparation operations beginning
7184
Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:06
7185
Info (170191): Fitter placement operations beginning
7186
Info (170137): Fitter placement was successful
7187
Info (170192): Fitter placement operations ending: elapsed time is 00:00:11
7188
Info (170193): Fitter routing operations beginning
7189
Info (170195): Router estimated average interconnect usage is 1% of the available device resources
7190
    Info (170196): Router estimated peak interconnect usage is 30% of the available device resources in the region that extends from location X58_Y24 to location X68_Y36
7191
Info (170194): Fitter routing operations ending: elapsed time is 00:00:50
7192
Info (11888): Total time spent on timing analysis during the Fitter is 9.64 seconds.
7193
Info (334003): Started post-fitting delay annotation
7194
Info (334004): Delay annotation completed successfully
7195
Info (334003): Started post-fitting delay annotation
7196
Info (334004): Delay annotation completed successfully
7197
Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:24
7198
Warning (171167): Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information.
7199
Warning (169177): 102 pins must meet Altera requirements for 3.3-, 3.0-, and 2.5-V interfaces. For more information, refer to AN 447: Interfacing Cyclone IV E Devices with 3.3/3.0/2.5-V LVTTL/LVCMOS I/O Systems.
7200
    Info (169178): Pin UART_RXD uses I/O standard 3.3-V LVTTL at G12
7201
    Info (169178): Pin UART_RTS uses I/O standard 3.3-V LVTTL at G14
7202
    Info (169178): Pin UART_CTS uses I/O standard 3.3-V LVTTL at J13
7203
    Info (169178): Pin FL_RY uses I/O standard 3.3-V LVTTL at Y1
7204
    Info (169178): Pin SD_DAT0 uses I/O standard 3.3-V LVTTL at AE14
7205
    Info (169178): Pin AUD_ADCDAT uses I/O standard 3.3-V LVTTL at D2
7206
    Info (169178): Pin SD_DAT1 uses I/O standard 3.3-V LVTTL at AF13
7207
    Info (169178): Pin SD_DAT2 uses I/O standard 3.3-V LVTTL at AB14
7208
    Info (169178): Pin PS2_DAT2 uses I/O standard 3.3-V LVTTL at F5
7209
    Info (169178): Pin PS2_CLK2 uses I/O standard 3.3-V LVTTL at G5
7210
    Info (169178): Pin DRAM_DQ[0] uses I/O standard 3.3-V LVTTL at W3
7211
    Info (169178): Pin DRAM_DQ[1] uses I/O standard 3.3-V LVTTL at W2
7212
    Info (169178): Pin DRAM_DQ[2] uses I/O standard 3.3-V LVTTL at V4
7213
    Info (169178): Pin DRAM_DQ[3] uses I/O standard 3.3-V LVTTL at W1
7214
    Info (169178): Pin DRAM_DQ[4] uses I/O standard 3.3-V LVTTL at V3
7215
    Info (169178): Pin DRAM_DQ[5] uses I/O standard 3.3-V LVTTL at V2
7216
    Info (169178): Pin DRAM_DQ[6] uses I/O standard 3.3-V LVTTL at V1
7217
    Info (169178): Pin DRAM_DQ[7] uses I/O standard 3.3-V LVTTL at U3
7218
    Info (169178): Pin DRAM_DQ[8] uses I/O standard 3.3-V LVTTL at Y3
7219
    Info (169178): Pin DRAM_DQ[9] uses I/O standard 3.3-V LVTTL at Y4
7220
    Info (169178): Pin DRAM_DQ[10] uses I/O standard 3.3-V LVTTL at AB1
7221
    Info (169178): Pin DRAM_DQ[11] uses I/O standard 3.3-V LVTTL at AA3
7222
    Info (169178): Pin DRAM_DQ[12] uses I/O standard 3.3-V LVTTL at AB2
7223
    Info (169178): Pin DRAM_DQ[13] uses I/O standard 3.3-V LVTTL at AC1
7224
    Info (169178): Pin DRAM_DQ[14] uses I/O standard 3.3-V LVTTL at AB3
7225
    Info (169178): Pin DRAM_DQ[15] uses I/O standard 3.3-V LVTTL at AC2
7226
    Info (169178): Pin DRAM_DQ[16] uses I/O standard 3.3-V LVTTL at M8
7227
    Info (169178): Pin DRAM_DQ[17] uses I/O standard 3.3-V LVTTL at L8
7228
    Info (169178): Pin DRAM_DQ[18] uses I/O standard 3.3-V LVTTL at P2
7229
    Info (169178): Pin DRAM_DQ[19] uses I/O standard 3.3-V LVTTL at N3
7230
    Info (169178): Pin DRAM_DQ[20] uses I/O standard 3.3-V LVTTL at N4
7231
    Info (169178): Pin DRAM_DQ[21] uses I/O standard 3.3-V LVTTL at M4
7232
    Info (169178): Pin DRAM_DQ[22] uses I/O standard 3.3-V LVTTL at M7
7233
    Info (169178): Pin DRAM_DQ[23] uses I/O standard 3.3-V LVTTL at L7
7234
    Info (169178): Pin DRAM_DQ[24] uses I/O standard 3.3-V LVTTL at U5
7235
    Info (169178): Pin DRAM_DQ[25] uses I/O standard 3.3-V LVTTL at R7
7236
    Info (169178): Pin DRAM_DQ[26] uses I/O standard 3.3-V LVTTL at R1
7237
    Info (169178): Pin DRAM_DQ[27] uses I/O standard 3.3-V LVTTL at R2
7238
    Info (169178): Pin DRAM_DQ[28] uses I/O standard 3.3-V LVTTL at R3
7239
    Info (169178): Pin DRAM_DQ[29] uses I/O standard 3.3-V LVTTL at T3
7240
    Info (169178): Pin DRAM_DQ[30] uses I/O standard 3.3-V LVTTL at U4
7241
    Info (169178): Pin DRAM_DQ[31] uses I/O standard 3.3-V LVTTL at U1
7242
    Info (169178): Pin FL_DQ[0] uses I/O standard 3.3-V LVTTL at AH8
7243
    Info (169178): Pin FL_DQ[1] uses I/O standard 3.3-V LVTTL at AF10
7244
    Info (169178): Pin FL_DQ[2] uses I/O standard 3.3-V LVTTL at AG10
7245
    Info (169178): Pin FL_DQ[3] uses I/O standard 3.3-V LVTTL at AH10
7246
    Info (169178): Pin FL_DQ[4] uses I/O standard 3.3-V LVTTL at AF11
7247
    Info (169178): Pin FL_DQ[5] uses I/O standard 3.3-V LVTTL at AG11
7248
    Info (169178): Pin FL_DQ[6] uses I/O standard 3.3-V LVTTL at AH11
7249
    Info (169178): Pin FL_DQ[7] uses I/O standard 3.3-V LVTTL at AF12
7250
    Info (169178): Pin SRAM_DQ[0] uses I/O standard 3.3-V LVTTL at AH3
7251
    Info (169178): Pin SRAM_DQ[1] uses I/O standard 3.3-V LVTTL at AF4
7252
    Info (169178): Pin SRAM_DQ[2] uses I/O standard 3.3-V LVTTL at AG4
7253
    Info (169178): Pin SRAM_DQ[3] uses I/O standard 3.3-V LVTTL at AH4
7254
    Info (169178): Pin SRAM_DQ[4] uses I/O standard 3.3-V LVTTL at AF6
7255
    Info (169178): Pin SRAM_DQ[5] uses I/O standard 3.3-V LVTTL at AG6
7256
    Info (169178): Pin SRAM_DQ[6] uses I/O standard 3.3-V LVTTL at AH6
7257
    Info (169178): Pin SRAM_DQ[7] uses I/O standard 3.3-V LVTTL at AF7
7258
    Info (169178): Pin SRAM_DQ[8] uses I/O standard 3.3-V LVTTL at AD1
7259
    Info (169178): Pin SRAM_DQ[9] uses I/O standard 3.3-V LVTTL at AD2
7260
    Info (169178): Pin SRAM_DQ[10] uses I/O standard 3.3-V LVTTL at AE2
7261
    Info (169178): Pin SRAM_DQ[11] uses I/O standard 3.3-V LVTTL at AE1
7262
    Info (169178): Pin SRAM_DQ[12] uses I/O standard 3.3-V LVTTL at AE3
7263
    Info (169178): Pin SRAM_DQ[13] uses I/O standard 3.3-V LVTTL at AE4
7264
    Info (169178): Pin SRAM_DQ[14] uses I/O standard 3.3-V LVTTL at AF3
7265
    Info (169178): Pin SRAM_DQ[15] uses I/O standard 3.3-V LVTTL at AG3
7266
    Info (169178): Pin PS2_DAT uses I/O standard 3.3-V LVTTL at H5
7267
    Info (169178): Pin PS2_CLK uses I/O standard 3.3-V LVTTL at G6
7268
    Info (169178): Pin AUD_ADCLRCK uses I/O standard 3.3-V LVTTL at C2
7269
    Info (169178): Pin AUD_DACLRCK uses I/O standard 3.3-V LVTTL at E3
7270
    Info (169178): Pin AUD_BCLK uses I/O standard 3.3-V LVTTL at F2
7271
    Info (169178): Pin LCD_DATA[0] uses I/O standard 3.3-V LVTTL at L3
7272
    Info (169178): Pin LCD_DATA[1] uses I/O standard 3.3-V LVTTL at L1
7273
    Info (169178): Pin LCD_DATA[2] uses I/O standard 3.3-V LVTTL at L2
7274
    Info (169178): Pin LCD_DATA[3] uses I/O standard 3.3-V LVTTL at K7
7275
    Info (169178): Pin LCD_DATA[4] uses I/O standard 3.3-V LVTTL at K1
7276
    Info (169178): Pin LCD_DATA[5] uses I/O standard 3.3-V LVTTL at K2
7277
    Info (169178): Pin LCD_DATA[6] uses I/O standard 3.3-V LVTTL at M3
7278
    Info (169178): Pin LCD_DATA[7] uses I/O standard 3.3-V LVTTL at M5
7279
    Info (169178): Pin SW[17] uses I/O standard 3.3-V LVTTL at Y23
7280
    Info (169178): Pin CLOCK_50 uses I/O standard 3.3-V LVTTL at Y2
7281
    Info (169178): Pin SW[16] uses I/O standard 3.3-V LVTTL at Y24
7282
    Info (169178): Pin SW[1] uses I/O standard 3.3-V LVTTL at AC28
7283
    Info (169178): Pin SW[9] uses I/O standard 3.3-V LVTTL at AB25
7284
    Info (169178): Pin KEY[1] uses I/O standard 3.3-V LVTTL at M21
7285
    Info (169178): Pin SW[8] uses I/O standard 3.3-V LVTTL at AC25
7286
    Info (169178): Pin SW[0] uses I/O standard 3.3-V LVTTL at AB28
7287
    Info (169178): Pin KEY[0] uses I/O standard 3.3-V LVTTL at M23
7288
    Info (169178): Pin SW[15] uses I/O standard 3.3-V LVTTL at AA22
7289
    Info (169178): Pin SW[7] uses I/O standard 3.3-V LVTTL at AB26
7290
    Info (169178): Pin SW[14] uses I/O standard 3.3-V LVTTL at AA23
7291
    Info (169178): Pin SW[6] uses I/O standard 3.3-V LVTTL at AD26
7292
    Info (169178): Pin SW[10] uses I/O standard 3.3-V LVTTL at AC24
7293
    Info (169178): Pin SW[2] uses I/O standard 3.3-V LVTTL at AC27
7294
    Info (169178): Pin KEY[2] uses I/O standard 3.3-V LVTTL at N21
7295
    Info (169178): Pin SW[13] uses I/O standard 3.3-V LVTTL at AA24
7296
    Info (169178): Pin SW[5] uses I/O standard 3.3-V LVTTL at AC26
7297
    Info (169178): Pin SW[12] uses I/O standard 3.3-V LVTTL at AB23
7298
    Info (169178): Pin SW[4] uses I/O standard 3.3-V LVTTL at AB27
7299
    Info (169178): Pin SW[3] uses I/O standard 3.3-V LVTTL at AD27
7300
    Info (169178): Pin SW[11] uses I/O standard 3.3-V LVTTL at AB24
7301
    Info (169178): Pin KEY[3] uses I/O standard 3.3-V LVTTL at R24
7302
Warning (169064): Following 65 pins have no output enable or a GND or VCC output enable - later changes to this connectivity may change fitting results
7303
    Info (169065): Pin SD_DAT1 has a permanently disabled output enable
7304
    Info (169065): Pin SD_DAT2 has a permanently disabled output enable
7305
    Info (169065): Pin PS2_DAT2 has a permanently disabled output enable
7306
    Info (169065): Pin PS2_CLK2 has a permanently disabled output enable
7307
    Info (169065): Pin DRAM_DQ[0] has a permanently disabled output enable
7308
    Info (169065): Pin DRAM_DQ[1] has a permanently disabled output enable
7309
    Info (169065): Pin DRAM_DQ[2] has a permanently disabled output enable
7310
    Info (169065): Pin DRAM_DQ[3] has a permanently disabled output enable
7311
    Info (169065): Pin DRAM_DQ[4] has a permanently disabled output enable
7312
    Info (169065): Pin DRAM_DQ[5] has a permanently disabled output enable
7313
    Info (169065): Pin DRAM_DQ[6] has a permanently disabled output enable
7314
    Info (169065): Pin DRAM_DQ[7] has a permanently disabled output enable
7315
    Info (169065): Pin DRAM_DQ[8] has a permanently disabled output enable
7316
    Info (169065): Pin DRAM_DQ[9] has a permanently disabled output enable
7317
    Info (169065): Pin DRAM_DQ[10] has a permanently disabled output enable
7318
    Info (169065): Pin DRAM_DQ[11] has a permanently disabled output enable
7319
    Info (169065): Pin DRAM_DQ[12] has a permanently disabled output enable
7320
    Info (169065): Pin DRAM_DQ[13] has a permanently disabled output enable
7321
    Info (169065): Pin DRAM_DQ[14] has a permanently disabled output enable
7322
    Info (169065): Pin DRAM_DQ[15] has a permanently disabled output enable
7323
    Info (169065): Pin DRAM_DQ[16] has a permanently disabled output enable
7324
    Info (169065): Pin DRAM_DQ[17] has a permanently disabled output enable
7325
    Info (169065): Pin DRAM_DQ[18] has a permanently disabled output enable
7326
    Info (169065): Pin DRAM_DQ[19] has a permanently disabled output enable
7327
    Info (169065): Pin DRAM_DQ[20] has a permanently disabled output enable
7328
    Info (169065): Pin DRAM_DQ[21] has a permanently disabled output enable
7329
    Info (169065): Pin DRAM_DQ[22] has a permanently disabled output enable
7330
    Info (169065): Pin DRAM_DQ[23] has a permanently disabled output enable
7331
    Info (169065): Pin DRAM_DQ[24] has a permanently disabled output enable
7332
    Info (169065): Pin DRAM_DQ[25] has a permanently disabled output enable
7333
    Info (169065): Pin DRAM_DQ[26] has a permanently disabled output enable
7334
    Info (169065): Pin DRAM_DQ[27] has a permanently disabled output enable
7335
    Info (169065): Pin DRAM_DQ[28] has a permanently disabled output enable
7336
    Info (169065): Pin DRAM_DQ[29] has a permanently disabled output enable
7337
    Info (169065): Pin DRAM_DQ[30] has a permanently disabled output enable
7338
    Info (169065): Pin DRAM_DQ[31] has a permanently disabled output enable
7339
    Info (169065): Pin FL_DQ[0] has a permanently disabled output enable
7340
    Info (169065): Pin FL_DQ[1] has a permanently disabled output enable
7341
    Info (169065): Pin FL_DQ[2] has a permanently disabled output enable
7342
    Info (169065): Pin FL_DQ[3] has a permanently disabled output enable
7343
    Info (169065): Pin FL_DQ[4] has a permanently disabled output enable
7344
    Info (169065): Pin FL_DQ[5] has a permanently disabled output enable
7345
    Info (169065): Pin FL_DQ[6] has a permanently disabled output enable
7346
    Info (169065): Pin FL_DQ[7] has a permanently disabled output enable
7347
    Info (169065): Pin SRAM_DQ[8] has a permanently disabled output enable
7348
    Info (169065): Pin SRAM_DQ[9] has a permanently disabled output enable
7349
    Info (169065): Pin SRAM_DQ[10] has a permanently disabled output enable
7350
    Info (169065): Pin SRAM_DQ[11] has a permanently disabled output enable
7351
    Info (169065): Pin SRAM_DQ[12] has a permanently disabled output enable
7352
    Info (169065): Pin SRAM_DQ[13] has a permanently disabled output enable
7353
    Info (169065): Pin SRAM_DQ[14] has a permanently disabled output enable
7354
    Info (169065): Pin SRAM_DQ[15] has a permanently disabled output enable
7355
    Info (169065): Pin PS2_DAT has a permanently disabled output enable
7356
    Info (169065): Pin PS2_CLK has a permanently disabled output enable
7357
    Info (169065): Pin AUD_ADCLRCK has a permanently disabled output enable
7358
    Info (169065): Pin AUD_DACLRCK has a permanently disabled output enable
7359
    Info (169065): Pin AUD_BCLK has a permanently disabled output enable
7360
    Info (169065): Pin LCD_DATA[0] has a permanently enabled output enable
7361
    Info (169065): Pin LCD_DATA[1] has a permanently enabled output enable
7362
    Info (169065): Pin LCD_DATA[2] has a permanently enabled output enable
7363
    Info (169065): Pin LCD_DATA[3] has a permanently enabled output enable
7364
    Info (169065): Pin LCD_DATA[4] has a permanently enabled output enable
7365
    Info (169065): Pin LCD_DATA[5] has a permanently enabled output enable
7366
    Info (169065): Pin LCD_DATA[6] has a permanently enabled output enable
7367
    Info (169065): Pin LCD_DATA[7] has a permanently enabled output enable
7368
Info (144001): Generated suppressed messages file F:/z80soc-local/hw/0.7.3/DE2115/073DE2115e.fit.smsg
7369
Info: Quartus II 64-Bit Fitter was successful. 0 errors, 204 warnings
7370
    Info: Peak virtual memory: 911 megabytes
7371
    Info: Processing ended: Sun Jun 19 13:44:52 2016
7372
    Info: Elapsed time: 00:04:39
7373
    Info: Total CPU time (on all processors): 00:03:27
7374
 
7375
 
7376
+----------------------------+
7377
; Fitter Suppressed Messages ;
7378
+----------------------------+
7379
The suppressed messages can be found in F:/z80soc-local/hw/0.7.3/DE2115/073DE2115e.fit.smsg.
7380
 
7381
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.