OpenCores
URL https://opencores.org/ocsvn/z80soc/z80soc/trunk

Subversion Repositories z80soc

[/] [z80soc/] [trunk/] [V0.7.3/] [DE2115/] [073DE2115e.qsf] - Blame information for rev 46

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 46 rrred
# -------------------------------------------------------------------------- #
2
#
3
# Copyright (C) 1991-2013 Altera Corporation
4
# Your use of Altera Corporation's design tools, logic functions
5
# and other software and tools, and its AMPP partner logic
6
# functions, and any output files from any of the foregoing
7
# (including device programming or simulation files), and any
8
# associated documentation or information are expressly subject
9
# to the terms and conditions of the Altera Program License
10
# Subscription Agreement, Altera MegaCore Function License
11
# Agreement, or other applicable license agreement, including,
12
# without limitation, that your use is for the sole purpose of
13
# programming logic devices manufactured by Altera and sold by
14
# Altera or its authorized distributors.  Please refer to the
15
# applicable agreement for further details.
16
#
17
# -------------------------------------------------------------------------- #
18
#
19
# Quartus II 64-Bit
20
# Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition
21
# Date created = 16:17:22  April 13, 2016
22
#
23
# -------------------------------------------------------------------------- #
24
 
25
 
26
#============================================================
27
# Build by Terasic System Builder
28
#============================================================
29
 
30
set_global_assignment -name FAMILY "Cyclone IV E"
31
set_global_assignment -name DEVICE EP4CE115F29C7
32
set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA
33
set_global_assignment -name DEVICE_FILTER_PIN_COUNT 780
34
set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 7
35
set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL"
36
 
37
set_global_assignment -name TOP_LEVEL_ENTITY Z80SOC
38
set_global_assignment -name ORIGINAL_QUARTUS_VERSION "13.0 SP1"
39
set_global_assignment -name PROJECT_CREATION_TIME_DATE "16:44:45  APRIL 21, 2016"
40
set_global_assignment -name LAST_QUARTUS_VERSION "13.0 SP1"
41
set_global_assignment -name USE_GENERATED_PHYSICAL_CONSTRAINTS OFF -section_id eda_palace
42
set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED"
43
set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "AS INPUT TRI-STATED"
44
#set_global_assignment -name ENABLE_DA_RULE "C101, C102, C103, C104, C105, C106, R101, R102, R103, R104, R105, T101, T102, A101, A102, A103, A104, A105, A106, A107, A108, A109, A110, S101, S102, S103, S104, D101, D102, D103, H101, H102, M101, M102, M103, M104, M105"
45
set_global_assignment -name END_TIME "10000 us"
46
set_global_assignment -name ADD_DEFAULT_PINS_TO_SIMULATION_OUTPUT_WAVEFORMS OFF
47
set_global_assignment -name SMART_RECOMPILE ON
48
set_global_assignment -name AUTO_SHIFT_REGISTER_RECOGNITION OFF
49
set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region"
50
set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region"
51
set_parameter -name CYCLONEII_SAFE_WRITE "\"RESTRUCTURE\"" -to "vram8k:vram8k_inst"
52
set_global_assignment -name FITTER_EFFORT "FAST FIT"
53
 
54
 
55
set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
56
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
57
 
58
 
59
 
60
#============================================================
61
# CLOCK
62
#============================================================
63
set_location_assignment PIN_Y2 -to CLOCK_50
64
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CLOCK_50
65
set_location_assignment PIN_AG14 -to CLOCK2_50
66
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CLOCK2_50
67
set_location_assignment PIN_AG15 -to CLOCK3_50
68
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CLOCK3_50
69
 
70
#============================================================
71
# Sma
72
#============================================================
73
set_location_assignment PIN_AH14 -to SMA_CLKIN
74
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SMA_CLKIN
75
set_location_assignment PIN_AE23 -to SMA_CLKOUT
76
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SMA_CLKOUT
77
 
78
#============================================================
79
# LED
80
#============================================================
81
set_location_assignment PIN_G19 -to LEDR[0]
82
set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[0]
83
set_location_assignment PIN_F19 -to LEDR[1]
84
set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[1]
85
set_location_assignment PIN_E19 -to LEDR[2]
86
set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[2]
87
set_location_assignment PIN_F21 -to LEDR[3]
88
set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[3]
89
set_location_assignment PIN_F18 -to LEDR[4]
90
set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[4]
91
set_location_assignment PIN_E18 -to LEDR[5]
92
set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[5]
93
set_location_assignment PIN_J19 -to LEDR[6]
94
set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[6]
95
set_location_assignment PIN_H19 -to LEDR[7]
96
set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[7]
97
set_location_assignment PIN_J17 -to LEDR[8]
98
set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[8]
99
set_location_assignment PIN_G17 -to LEDR[9]
100
set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[9]
101
set_location_assignment PIN_J15 -to LEDR[10]
102
set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[10]
103
set_location_assignment PIN_H16 -to LEDR[11]
104
set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[11]
105
set_location_assignment PIN_J16 -to LEDR[12]
106
set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[12]
107
set_location_assignment PIN_H17 -to LEDR[13]
108
set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[13]
109
set_location_assignment PIN_F15 -to LEDR[14]
110
set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[14]
111
set_location_assignment PIN_G15 -to LEDR[15]
112
set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[15]
113
set_location_assignment PIN_G16 -to LEDR[16]
114
set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[16]
115
set_location_assignment PIN_H15 -to LEDR[17]
116
set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[17]
117
set_location_assignment PIN_E21 -to LEDG[0]
118
set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDG[0]
119
set_location_assignment PIN_E22 -to LEDG[1]
120
set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDG[1]
121
set_location_assignment PIN_E25 -to LEDG[2]
122
set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDG[2]
123
set_location_assignment PIN_E24 -to LEDG[3]
124
set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDG[3]
125
set_location_assignment PIN_H21 -to LEDG[4]
126
set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDG[4]
127
set_location_assignment PIN_G20 -to LEDG[5]
128
set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDG[5]
129
set_location_assignment PIN_G22 -to LEDG[6]
130
set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDG[6]
131
set_location_assignment PIN_G21 -to LEDG[7]
132
set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDG[7]
133
set_location_assignment PIN_F17 -to LEDG[8]
134
set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDG[8]
135
 
136
#============================================================
137
# KEY
138
#============================================================
139
set_location_assignment PIN_M23 -to KEY[0]
140
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to KEY[0]
141
set_location_assignment PIN_M21 -to KEY[1]
142
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to KEY[1]
143
set_location_assignment PIN_N21 -to KEY[2]
144
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to KEY[2]
145
set_location_assignment PIN_R24 -to KEY[3]
146
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to KEY[3]
147
 
148
#============================================================
149
# EX_IO
150
#============================================================
151
set_location_assignment PIN_J10 -to EX_IO[0]
152
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to EX_IO[0]
153
set_location_assignment PIN_J14 -to EX_IO[1]
154
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to EX_IO[1]
155
set_location_assignment PIN_H13 -to EX_IO[2]
156
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to EX_IO[2]
157
set_location_assignment PIN_H14 -to EX_IO[3]
158
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to EX_IO[3]
159
set_location_assignment PIN_F14 -to EX_IO[4]
160
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to EX_IO[4]
161
set_location_assignment PIN_E10 -to EX_IO[5]
162
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to EX_IO[5]
163
set_location_assignment PIN_D9 -to EX_IO[6]
164
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to EX_IO[6]
165
 
166
#============================================================
167
# SW
168
#============================================================
169
set_location_assignment PIN_AB28 -to SW[0]
170
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[0]
171
set_location_assignment PIN_AC28 -to SW[1]
172
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[1]
173
set_location_assignment PIN_AC27 -to SW[2]
174
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[2]
175
set_location_assignment PIN_AD27 -to SW[3]
176
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[3]
177
set_location_assignment PIN_AB27 -to SW[4]
178
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[4]
179
set_location_assignment PIN_AC26 -to SW[5]
180
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[5]
181
set_location_assignment PIN_AD26 -to SW[6]
182
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[6]
183
set_location_assignment PIN_AB26 -to SW[7]
184
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[7]
185
set_location_assignment PIN_AC25 -to SW[8]
186
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[8]
187
set_location_assignment PIN_AB25 -to SW[9]
188
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[9]
189
set_location_assignment PIN_AC24 -to SW[10]
190
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[10]
191
set_location_assignment PIN_AB24 -to SW[11]
192
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[11]
193
set_location_assignment PIN_AB23 -to SW[12]
194
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[12]
195
set_location_assignment PIN_AA24 -to SW[13]
196
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[13]
197
set_location_assignment PIN_AA23 -to SW[14]
198
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[14]
199
set_location_assignment PIN_AA22 -to SW[15]
200
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[15]
201
set_location_assignment PIN_Y24 -to SW[16]
202
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[16]
203
set_location_assignment PIN_Y23 -to SW[17]
204
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[17]
205
 
206
#============================================================
207
# SEG7
208
#============================================================
209
set_location_assignment PIN_G18 -to HEX0[0]
210
set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX0[0]
211
set_location_assignment PIN_F22 -to HEX0[1]
212
set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX0[1]
213
set_location_assignment PIN_E17 -to HEX0[2]
214
set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX0[2]
215
set_location_assignment PIN_L26 -to HEX0[3]
216
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[3]
217
set_location_assignment PIN_L25 -to HEX0[4]
218
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[4]
219
set_location_assignment PIN_J22 -to HEX0[5]
220
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[5]
221
set_location_assignment PIN_H22 -to HEX0[6]
222
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[6]
223
set_location_assignment PIN_M24 -to HEX1[0]
224
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[0]
225
set_location_assignment PIN_Y22 -to HEX1[1]
226
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[1]
227
set_location_assignment PIN_W21 -to HEX1[2]
228
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[2]
229
set_location_assignment PIN_W22 -to HEX1[3]
230
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[3]
231
set_location_assignment PIN_W25 -to HEX1[4]
232
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[4]
233
set_location_assignment PIN_U23 -to HEX1[5]
234
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[5]
235
set_location_assignment PIN_U24 -to HEX1[6]
236
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[6]
237
set_location_assignment PIN_AA25 -to HEX2[0]
238
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[0]
239
set_location_assignment PIN_AA26 -to HEX2[1]
240
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[1]
241
set_location_assignment PIN_Y25 -to HEX2[2]
242
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[2]
243
set_location_assignment PIN_W26 -to HEX2[3]
244
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[3]
245
set_location_assignment PIN_Y26 -to HEX2[4]
246
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[4]
247
set_location_assignment PIN_W27 -to HEX2[5]
248
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[5]
249
set_location_assignment PIN_W28 -to HEX2[6]
250
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[6]
251
set_location_assignment PIN_V21 -to HEX3[0]
252
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[0]
253
set_location_assignment PIN_U21 -to HEX3[1]
254
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[1]
255
set_location_assignment PIN_AB20 -to HEX3[2]
256
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[2]
257
set_location_assignment PIN_AA21 -to HEX3[3]
258
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[3]
259
set_location_assignment PIN_AD24 -to HEX3[4]
260
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[4]
261
set_location_assignment PIN_AF23 -to HEX3[5]
262
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[5]
263
set_location_assignment PIN_Y19 -to HEX3[6]
264
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[6]
265
set_location_assignment PIN_AB19 -to HEX4[0]
266
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[0]
267
set_location_assignment PIN_AA19 -to HEX4[1]
268
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[1]
269
set_location_assignment PIN_AG21 -to HEX4[2]
270
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[2]
271
set_location_assignment PIN_AH21 -to HEX4[3]
272
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[3]
273
set_location_assignment PIN_AE19 -to HEX4[4]
274
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[4]
275
set_location_assignment PIN_AF19 -to HEX4[5]
276
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[5]
277
set_location_assignment PIN_AE18 -to HEX4[6]
278
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[6]
279
set_location_assignment PIN_AD18 -to HEX5[0]
280
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[0]
281
set_location_assignment PIN_AC18 -to HEX5[1]
282
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[1]
283
set_location_assignment PIN_AB18 -to HEX5[2]
284
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[2]
285
set_location_assignment PIN_AH19 -to HEX5[3]
286
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[3]
287
set_location_assignment PIN_AG19 -to HEX5[4]
288
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[4]
289
set_location_assignment PIN_AF18 -to HEX5[5]
290
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[5]
291
set_location_assignment PIN_AH18 -to HEX5[6]
292
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[6]
293
set_location_assignment PIN_AA17 -to HEX6[0]
294
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX6[0]
295
set_location_assignment PIN_AB16 -to HEX6[1]
296
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX6[1]
297
set_location_assignment PIN_AA16 -to HEX6[2]
298
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX6[2]
299
set_location_assignment PIN_AB17 -to HEX6[3]
300
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX6[3]
301
set_location_assignment PIN_AB15 -to HEX6[4]
302
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX6[4]
303
set_location_assignment PIN_AA15 -to HEX6[5]
304
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX6[5]
305
set_location_assignment PIN_AC17 -to HEX6[6]
306
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX6[6]
307
set_location_assignment PIN_AD17 -to HEX7[0]
308
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX7[0]
309
set_location_assignment PIN_AE17 -to HEX7[1]
310
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX7[1]
311
set_location_assignment PIN_AG17 -to HEX7[2]
312
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX7[2]
313
set_location_assignment PIN_AH17 -to HEX7[3]
314
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX7[3]
315
set_location_assignment PIN_AF17 -to HEX7[4]
316
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX7[4]
317
set_location_assignment PIN_AG18 -to HEX7[5]
318
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX7[5]
319
set_location_assignment PIN_AA14 -to HEX7[6]
320
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX7[6]
321
 
322
#============================================================
323
# LCD
324
#============================================================
325
set_location_assignment PIN_L3 -to LCD_DATA[0]
326
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[0]
327
set_location_assignment PIN_L1 -to LCD_DATA[1]
328
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[1]
329
set_location_assignment PIN_L2 -to LCD_DATA[2]
330
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[2]
331
set_location_assignment PIN_K7 -to LCD_DATA[3]
332
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[3]
333
set_location_assignment PIN_K1 -to LCD_DATA[4]
334
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[4]
335
set_location_assignment PIN_K2 -to LCD_DATA[5]
336
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[5]
337
set_location_assignment PIN_M3 -to LCD_DATA[6]
338
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[6]
339
set_location_assignment PIN_M5 -to LCD_DATA[7]
340
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[7]
341
set_location_assignment PIN_L6 -to LCD_BLON
342
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_BLON
343
set_location_assignment PIN_M1 -to LCD_RW
344
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_RW
345
set_location_assignment PIN_L4 -to LCD_EN
346
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_EN
347
set_location_assignment PIN_M2 -to LCD_RS
348
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_RS
349
set_location_assignment PIN_L5 -to LCD_ON
350
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_ON
351
 
352
#============================================================
353
# RS232
354
#============================================================
355
set_location_assignment PIN_G9 -to UART_TXD
356
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to UART_TXD
357
set_location_assignment PIN_G12 -to UART_RXD
358
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to UART_RXD
359
set_location_assignment PIN_G14 -to UART_RTS
360
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to UART_RTS
361
set_location_assignment PIN_J13 -to UART_CTS
362
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to UART_CTS
363
 
364
#============================================================
365
# PS2 for Keyboard and Mouse
366
#============================================================
367
set_location_assignment PIN_G6 -to PS2_CLK
368
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to PS2_CLK
369
set_location_assignment PIN_H5 -to PS2_DAT
370
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to PS2_DAT
371
set_location_assignment PIN_G5 -to PS2_CLK2
372
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to PS2_CLK2
373
set_location_assignment PIN_F5 -to PS2_DAT2
374
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to PS2_DAT2
375
 
376
#============================================================
377
# SDCARD
378
#============================================================
379
set_location_assignment PIN_AD14 -to SD_CMD
380
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_CMD
381
set_location_assignment PIN_AE13 -to SD_CLK
382
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_CLK
383
set_location_assignment PIN_AF14 -to SD_WP_N
384
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_WP_N
385
set_location_assignment PIN_AE14 -to SD_DAT0
386
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_DAT0
387
set_location_assignment PIN_AF13 -to SD_DAT1
388
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_DAT1
389
set_location_assignment PIN_AB14 -to SD_DAT2
390
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_DAT2
391
set_location_assignment PIN_AC14 -to SD_DAT3
392
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_DAT3
393
 
394
#============================================================
395
# VGA
396
#============================================================
397
set_location_assignment PIN_G13 -to VGA_HS
398
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_HS
399
set_location_assignment PIN_C13 -to VGA_VS
400
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_VS
401
set_location_assignment PIN_C10 -to VGA_SYNC_N
402
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_SYNC_N
403
set_location_assignment PIN_A12 -to VGA_CLK
404
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_CLK
405
set_location_assignment PIN_F11 -to VGA_BLANK_N
406
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_BLANK_N
407
set_location_assignment PIN_E12 -to VGA_R[0]
408
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[0]
409
set_location_assignment PIN_E11 -to VGA_R[1]
410
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[1]
411
set_location_assignment PIN_D10 -to VGA_R[2]
412
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[2]
413
set_location_assignment PIN_F12 -to VGA_R[3]
414
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[3]
415
set_location_assignment PIN_G10 -to VGA_R[4]
416
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[4]
417
set_location_assignment PIN_J12 -to VGA_R[5]
418
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[5]
419
set_location_assignment PIN_H8 -to VGA_R[6]
420
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[6]
421
set_location_assignment PIN_H10 -to VGA_R[7]
422
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[7]
423
set_location_assignment PIN_G8 -to VGA_G[0]
424
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[0]
425
set_location_assignment PIN_G11 -to VGA_G[1]
426
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[1]
427
set_location_assignment PIN_F8 -to VGA_G[2]
428
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[2]
429
set_location_assignment PIN_H12 -to VGA_G[3]
430
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[3]
431
set_location_assignment PIN_C8 -to VGA_G[4]
432
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[4]
433
set_location_assignment PIN_B8 -to VGA_G[5]
434
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[5]
435
set_location_assignment PIN_F10 -to VGA_G[6]
436
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[6]
437
set_location_assignment PIN_C9 -to VGA_G[7]
438
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[7]
439
set_location_assignment PIN_B10 -to VGA_B[0]
440
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[0]
441
set_location_assignment PIN_A10 -to VGA_B[1]
442
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[1]
443
set_location_assignment PIN_C11 -to VGA_B[2]
444
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[2]
445
set_location_assignment PIN_B11 -to VGA_B[3]
446
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[3]
447
set_location_assignment PIN_A11 -to VGA_B[4]
448
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[4]
449
set_location_assignment PIN_C12 -to VGA_B[5]
450
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[5]
451
set_location_assignment PIN_D11 -to VGA_B[6]
452
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[6]
453
set_location_assignment PIN_D12 -to VGA_B[7]
454
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[7]
455
 
456
#============================================================
457
# Audio
458
#============================================================
459
set_location_assignment PIN_C2 -to AUD_ADCLRCK
460
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to AUD_ADCLRCK
461
set_location_assignment PIN_D2 -to AUD_ADCDAT
462
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to AUD_ADCDAT
463
set_location_assignment PIN_E3 -to AUD_DACLRCK
464
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to AUD_DACLRCK
465
set_location_assignment PIN_D1 -to AUD_DACDAT
466
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to AUD_DACDAT
467
set_location_assignment PIN_E1 -to AUD_XCK
468
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to AUD_XCK
469
set_location_assignment PIN_F2 -to AUD_BCLK
470
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to AUD_BCLK
471
 
472
#============================================================
473
# I2C for EEPROM
474
#============================================================
475
set_location_assignment PIN_D14 -to EEP_I2C_SCLK
476
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to EEP_I2C_SCLK
477
set_location_assignment PIN_E14 -to EEP_I2C_SDAT
478
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to EEP_I2C_SDAT
479
 
480
#============================================================
481
# I2C for Audio Tv-Decoder
482
#============================================================
483
set_location_assignment PIN_B7 -to I2C_SCLK
484
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to I2C_SCLK
485
set_location_assignment PIN_A8 -to I2C_SDAT
486
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to I2C_SDAT
487
 
488
#============================================================
489
# Ethernet 0
490
#============================================================
491
set_location_assignment PIN_A14 -to ENETCLK_25
492
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ENETCLK_25
493
set_location_assignment PIN_C18 -to ENET0_TX_DATA[0]
494
set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_TX_DATA[0]
495
set_location_assignment PIN_C16 -to ENET0_RX_DATA[0]
496
set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_RX_DATA[0]
497
set_location_assignment PIN_D19 -to ENET0_TX_DATA[1]
498
set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_TX_DATA[1]
499
set_location_assignment PIN_D16 -to ENET0_RX_DATA[1]
500
set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_RX_DATA[1]
501
set_location_assignment PIN_A19 -to ENET0_TX_DATA[2]
502
set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_TX_DATA[2]
503
set_location_assignment PIN_D17 -to ENET0_RX_DATA[2]
504
set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_RX_DATA[2]
505
set_location_assignment PIN_B19 -to ENET0_TX_DATA[3]
506
set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_TX_DATA[3]
507
set_location_assignment PIN_C15 -to ENET0_RX_DATA[3]
508
set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_RX_DATA[3]
509
set_location_assignment PIN_A17 -to ENET0_GTX_CLK
510
set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_GTX_CLK
511
set_location_assignment PIN_A18 -to ENET0_TX_EN
512
set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_TX_EN
513
set_location_assignment PIN_B18 -to ENET0_TX_ER
514
set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_TX_ER
515
set_location_assignment PIN_A21 -to ENET0_INT_N
516
set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_INT_N
517
set_location_assignment PIN_C19 -to ENET0_RST_N
518
set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_RST_N
519
set_location_assignment PIN_C17 -to ENET0_RX_DV
520
set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_RX_DV
521
set_location_assignment PIN_D18 -to ENET0_RX_ER
522
set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_RX_ER
523
set_location_assignment PIN_D15 -to ENET0_RX_CRS
524
set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_RX_CRS
525
set_location_assignment PIN_E15 -to ENET0_RX_COL
526
set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_RX_COL
527
set_location_assignment PIN_A15 -to ENET0_RX_CLK
528
set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_RX_CLK
529
set_location_assignment PIN_B17 -to ENET0_TX_CLK
530
set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_TX_CLK
531
set_location_assignment PIN_C20 -to ENET0_MDC
532
set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_MDC
533
set_location_assignment PIN_B21 -to ENET0_MDIO
534
set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_MDIO
535
set_location_assignment PIN_C14 -to ENET0_LINK100
536
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ENET0_LINK100
537
 
538
#============================================================
539
# Ethernet 1
540
#============================================================
541
set_location_assignment PIN_C25 -to ENET1_TX_DATA[0]
542
set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_TX_DATA[0]
543
set_location_assignment PIN_B23 -to ENET1_RX_DATA[0]
544
set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_RX_DATA[0]
545
set_location_assignment PIN_A26 -to ENET1_TX_DATA[1]
546
set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_TX_DATA[1]
547
set_location_assignment PIN_C21 -to ENET1_RX_DATA[1]
548
set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_RX_DATA[1]
549
set_location_assignment PIN_B26 -to ENET1_TX_DATA[2]
550
set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_TX_DATA[2]
551
set_location_assignment PIN_A23 -to ENET1_RX_DATA[2]
552
set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_RX_DATA[2]
553
set_location_assignment PIN_C26 -to ENET1_TX_DATA[3]
554
set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_TX_DATA[3]
555
set_location_assignment PIN_D21 -to ENET1_RX_DATA[3]
556
set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_RX_DATA[3]
557
set_location_assignment PIN_C23 -to ENET1_GTX_CLK
558
set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_GTX_CLK
559
set_location_assignment PIN_B25 -to ENET1_TX_EN
560
set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_TX_EN
561
set_location_assignment PIN_A25 -to ENET1_TX_ER
562
set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_TX_ER
563
set_location_assignment PIN_D24 -to ENET1_INT_N
564
set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_INT_N
565
set_location_assignment PIN_D22 -to ENET1_RST_N
566
set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_RST_N
567
set_location_assignment PIN_A22 -to ENET1_RX_DV
568
set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_RX_DV
569
set_location_assignment PIN_C24 -to ENET1_RX_ER
570
set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_RX_ER
571
set_location_assignment PIN_D20 -to ENET1_RX_CRS
572
set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_RX_CRS
573
set_location_assignment PIN_B22 -to ENET1_RX_COL
574
set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_RX_COL
575
set_location_assignment PIN_B15 -to ENET1_RX_CLK
576
set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_RX_CLK
577
set_location_assignment PIN_C22 -to ENET1_TX_CLK
578
set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_TX_CLK
579
set_location_assignment PIN_D23 -to ENET1_MDC
580
set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_MDC
581
set_location_assignment PIN_D25 -to ENET1_MDIO
582
set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_MDIO
583
set_location_assignment PIN_D13 -to ENET1_LINK100
584
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ENET1_LINK100
585
 
586
#============================================================
587
# TV Decoder
588
#============================================================
589
set_location_assignment PIN_E5 -to TD_HS
590
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TD_HS
591
set_location_assignment PIN_E4 -to TD_VS
592
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TD_VS
593
set_location_assignment PIN_B14 -to TD_CLK27
594
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TD_CLK27
595
set_location_assignment PIN_G7 -to TD_RESET_N
596
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TD_RESET_N
597
set_location_assignment PIN_E8 -to TD_DATA[0]
598
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TD_DATA[0]
599
set_location_assignment PIN_A7 -to TD_DATA[1]
600
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TD_DATA[1]
601
set_location_assignment PIN_D8 -to TD_DATA[2]
602
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TD_DATA[2]
603
set_location_assignment PIN_C7 -to TD_DATA[3]
604
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TD_DATA[3]
605
set_location_assignment PIN_D7 -to TD_DATA[4]
606
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TD_DATA[4]
607
set_location_assignment PIN_D6 -to TD_DATA[5]
608
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TD_DATA[5]
609
set_location_assignment PIN_E7 -to TD_DATA[6]
610
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TD_DATA[6]
611
set_location_assignment PIN_F7 -to TD_DATA[7]
612
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TD_DATA[7]
613
 
614
#============================================================
615
# USB 2.0 OTG (Cypress CY7C67200)
616
#============================================================
617
set_location_assignment PIN_J6 -to OTG_DATA[0]
618
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[0]
619
set_location_assignment PIN_K4 -to OTG_DATA[1]
620
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[1]
621
set_location_assignment PIN_J5 -to OTG_DATA[2]
622
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[2]
623
set_location_assignment PIN_K3 -to OTG_DATA[3]
624
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[3]
625
set_location_assignment PIN_J4 -to OTG_DATA[4]
626
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[4]
627
set_location_assignment PIN_J3 -to OTG_DATA[5]
628
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[5]
629
set_location_assignment PIN_J7 -to OTG_DATA[6]
630
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[6]
631
set_location_assignment PIN_H6 -to OTG_DATA[7]
632
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[7]
633
set_location_assignment PIN_H3 -to OTG_DATA[8]
634
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[8]
635
set_location_assignment PIN_H4 -to OTG_DATA[9]
636
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[9]
637
set_location_assignment PIN_G1 -to OTG_DATA[10]
638
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[10]
639
set_location_assignment PIN_G2 -to OTG_DATA[11]
640
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[11]
641
set_location_assignment PIN_G3 -to OTG_DATA[12]
642
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[12]
643
set_location_assignment PIN_F1 -to OTG_DATA[13]
644
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[13]
645
set_location_assignment PIN_F3 -to OTG_DATA[14]
646
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[14]
647
set_location_assignment PIN_G4 -to OTG_DATA[15]
648
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[15]
649
set_location_assignment PIN_H7 -to OTG_ADDR[0]
650
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_ADDR[0]
651
set_location_assignment PIN_C3 -to OTG_ADDR[1]
652
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_ADDR[1]
653
set_location_assignment PIN_D5 -to OTG_INT
654
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_INT
655
set_location_assignment PIN_C5 -to OTG_RST_N
656
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_RST_N
657
set_location_assignment PIN_A3 -to OTG_CS_N
658
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_CS_N
659
set_location_assignment PIN_B3 -to OTG_RD_N
660
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_RD_N
661
set_location_assignment PIN_A4 -to OTG_WE_N
662
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_WE_N
663
 
664
#============================================================
665
# IR Receiver
666
#============================================================
667
set_location_assignment PIN_Y15 -to IRDA_RXD
668
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to IRDA_RXD
669
 
670
#============================================================
671
# SDRAM
672
#============================================================
673
set_location_assignment PIN_U7 -to DRAM_BA_0
674
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_BA_0
675
set_location_assignment PIN_R4 -to DRAM_BA_1
676
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_BA_1
677
set_location_assignment PIN_U2 -to DRAM_DQM_0
678
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQM_0
679
set_location_assignment PIN_W4 -to DRAM_DQM_1
680
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQM_1
681
set_location_assignment PIN_K8 -to DRAM_DQM_2
682
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQM_2
683
set_location_assignment PIN_N8 -to DRAM_DQM_3
684
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQM_3
685
set_location_assignment PIN_U6 -to DRAM_RAS_N
686
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_RAS_N
687
set_location_assignment PIN_V7 -to DRAM_CAS_N
688
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CAS_N
689
set_location_assignment PIN_AA6 -to DRAM_CKE
690
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CKE
691
set_location_assignment PIN_AE5 -to DRAM_CLK
692
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CLK
693
set_location_assignment PIN_V6 -to DRAM_WE_N
694
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_WE_N
695
set_location_assignment PIN_T4 -to DRAM_CS_N
696
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CS_N
697
set_location_assignment PIN_W3 -to DRAM_DQ[0]
698
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[0]
699
set_location_assignment PIN_W2 -to DRAM_DQ[1]
700
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[1]
701
set_location_assignment PIN_V4 -to DRAM_DQ[2]
702
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[2]
703
set_location_assignment PIN_W1 -to DRAM_DQ[3]
704
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[3]
705
set_location_assignment PIN_V3 -to DRAM_DQ[4]
706
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[4]
707
set_location_assignment PIN_V2 -to DRAM_DQ[5]
708
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[5]
709
set_location_assignment PIN_V1 -to DRAM_DQ[6]
710
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[6]
711
set_location_assignment PIN_U3 -to DRAM_DQ[7]
712
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[7]
713
set_location_assignment PIN_Y3 -to DRAM_DQ[8]
714
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[8]
715
set_location_assignment PIN_Y4 -to DRAM_DQ[9]
716
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[9]
717
set_location_assignment PIN_AB1 -to DRAM_DQ[10]
718
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[10]
719
set_location_assignment PIN_AA3 -to DRAM_DQ[11]
720
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[11]
721
set_location_assignment PIN_AB2 -to DRAM_DQ[12]
722
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[12]
723
set_location_assignment PIN_AC1 -to DRAM_DQ[13]
724
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[13]
725
set_location_assignment PIN_AB3 -to DRAM_DQ[14]
726
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[14]
727
set_location_assignment PIN_AC2 -to DRAM_DQ[15]
728
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[15]
729
set_location_assignment PIN_M8 -to DRAM_DQ[16]
730
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[16]
731
set_location_assignment PIN_L8 -to DRAM_DQ[17]
732
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[17]
733
set_location_assignment PIN_P2 -to DRAM_DQ[18]
734
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[18]
735
set_location_assignment PIN_N3 -to DRAM_DQ[19]
736
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[19]
737
set_location_assignment PIN_N4 -to DRAM_DQ[20]
738
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[20]
739
set_location_assignment PIN_M4 -to DRAM_DQ[21]
740
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[21]
741
set_location_assignment PIN_M7 -to DRAM_DQ[22]
742
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[22]
743
set_location_assignment PIN_L7 -to DRAM_DQ[23]
744
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[23]
745
set_location_assignment PIN_U5 -to DRAM_DQ[24]
746
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[24]
747
set_location_assignment PIN_R7 -to DRAM_DQ[25]
748
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[25]
749
set_location_assignment PIN_R1 -to DRAM_DQ[26]
750
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[26]
751
set_location_assignment PIN_R2 -to DRAM_DQ[27]
752
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[27]
753
set_location_assignment PIN_R3 -to DRAM_DQ[28]
754
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[28]
755
set_location_assignment PIN_T3 -to DRAM_DQ[29]
756
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[29]
757
set_location_assignment PIN_U4 -to DRAM_DQ[30]
758
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[30]
759
set_location_assignment PIN_U1 -to DRAM_DQ[31]
760
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[31]
761
set_location_assignment PIN_R6 -to DRAM_ADDR[0]
762
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[0]
763
set_location_assignment PIN_V8 -to DRAM_ADDR[1]
764
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[1]
765
set_location_assignment PIN_U8 -to DRAM_ADDR[2]
766
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[2]
767
set_location_assignment PIN_P1 -to DRAM_ADDR[3]
768
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[3]
769
set_location_assignment PIN_V5 -to DRAM_ADDR[4]
770
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[4]
771
set_location_assignment PIN_W8 -to DRAM_ADDR[5]
772
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[5]
773
set_location_assignment PIN_W7 -to DRAM_ADDR[6]
774
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[6]
775
set_location_assignment PIN_AA7 -to DRAM_ADDR[7]
776
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[7]
777
set_location_assignment PIN_Y5 -to DRAM_ADDR[8]
778
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[8]
779
set_location_assignment PIN_Y6 -to DRAM_ADDR[9]
780
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[9]
781
set_location_assignment PIN_R5 -to DRAM_ADDR[10]
782
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[10]
783
set_location_assignment PIN_AA5 -to DRAM_ADDR[11]
784
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[11]
785
set_location_assignment PIN_Y7 -to DRAM_ADDR[12]
786
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[12]
787
 
788
#============================================================
789
# SRAM
790
#============================================================
791
set_location_assignment PIN_AB7 -to SRAM_ADDR[0]
792
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[0]
793
set_location_assignment PIN_AD7 -to SRAM_ADDR[1]
794
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[1]
795
set_location_assignment PIN_AE7 -to SRAM_ADDR[2]
796
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[2]
797
set_location_assignment PIN_AC7 -to SRAM_ADDR[3]
798
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[3]
799
set_location_assignment PIN_AB6 -to SRAM_ADDR[4]
800
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[4]
801
set_location_assignment PIN_AE6 -to SRAM_ADDR[5]
802
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[5]
803
set_location_assignment PIN_AB5 -to SRAM_ADDR[6]
804
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[6]
805
set_location_assignment PIN_AC5 -to SRAM_ADDR[7]
806
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[7]
807
set_location_assignment PIN_AF5 -to SRAM_ADDR[8]
808
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[8]
809
set_location_assignment PIN_T7 -to SRAM_ADDR[9]
810
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[9]
811
set_location_assignment PIN_AF2 -to SRAM_ADDR[10]
812
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[10]
813
set_location_assignment PIN_AD3 -to SRAM_ADDR[11]
814
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[11]
815
set_location_assignment PIN_AB4 -to SRAM_ADDR[12]
816
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[12]
817
set_location_assignment PIN_AC3 -to SRAM_ADDR[13]
818
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[13]
819
set_location_assignment PIN_AA4 -to SRAM_ADDR[14]
820
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[14]
821
set_location_assignment PIN_AB11 -to SRAM_ADDR[15]
822
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[15]
823
set_location_assignment PIN_AC11 -to SRAM_ADDR[16]
824
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[16]
825
set_location_assignment PIN_AB9 -to SRAM_ADDR[17]
826
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[17]
827
set_location_assignment PIN_AB8 -to SRAM_ADDR[18]
828
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[18]
829
set_location_assignment PIN_T8 -to SRAM_ADDR[19]
830
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[19]
831
set_location_assignment PIN_AH3 -to SRAM_DQ[0]
832
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[0]
833
set_location_assignment PIN_AF4 -to SRAM_DQ[1]
834
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[1]
835
set_location_assignment PIN_AG4 -to SRAM_DQ[2]
836
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[2]
837
set_location_assignment PIN_AH4 -to SRAM_DQ[3]
838
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[3]
839
set_location_assignment PIN_AF6 -to SRAM_DQ[4]
840
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[4]
841
set_location_assignment PIN_AG6 -to SRAM_DQ[5]
842
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[5]
843
set_location_assignment PIN_AH6 -to SRAM_DQ[6]
844
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[6]
845
set_location_assignment PIN_AF7 -to SRAM_DQ[7]
846
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[7]
847
set_location_assignment PIN_AD1 -to SRAM_DQ[8]
848
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[8]
849
set_location_assignment PIN_AD2 -to SRAM_DQ[9]
850
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[9]
851
set_location_assignment PIN_AE2 -to SRAM_DQ[10]
852
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[10]
853
set_location_assignment PIN_AE1 -to SRAM_DQ[11]
854
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[11]
855
set_location_assignment PIN_AE3 -to SRAM_DQ[12]
856
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[12]
857
set_location_assignment PIN_AE4 -to SRAM_DQ[13]
858
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[13]
859
set_location_assignment PIN_AF3 -to SRAM_DQ[14]
860
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[14]
861
set_location_assignment PIN_AG3 -to SRAM_DQ[15]
862
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[15]
863
set_location_assignment PIN_AC4 -to SRAM_UB_N
864
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_UB_N
865
set_location_assignment PIN_AD4 -to SRAM_LB_N
866
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_LB_N
867
set_location_assignment PIN_AF8 -to SRAM_CE_N
868
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_CE_N
869
set_location_assignment PIN_AD5 -to SRAM_OE_N
870
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_OE_N
871
set_location_assignment PIN_AE8 -to SRAM_WE_N
872
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_WE_N
873
 
874
#============================================================
875
# Flash
876
#============================================================
877
set_location_assignment PIN_AG12 -to FL_ADDR[0]
878
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[0]
879
set_location_assignment PIN_AH7 -to FL_ADDR[1]
880
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[1]
881
set_location_assignment PIN_Y13 -to FL_ADDR[2]
882
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[2]
883
set_location_assignment PIN_Y14 -to FL_ADDR[3]
884
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[3]
885
set_location_assignment PIN_Y12 -to FL_ADDR[4]
886
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[4]
887
set_location_assignment PIN_AA13 -to FL_ADDR[5]
888
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[5]
889
set_location_assignment PIN_AA12 -to FL_ADDR[6]
890
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[6]
891
set_location_assignment PIN_AB13 -to FL_ADDR[7]
892
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[7]
893
set_location_assignment PIN_AB12 -to FL_ADDR[8]
894
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[8]
895
set_location_assignment PIN_AB10 -to FL_ADDR[9]
896
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[9]
897
set_location_assignment PIN_AE9 -to FL_ADDR[10]
898
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[10]
899
set_location_assignment PIN_AF9 -to FL_ADDR[11]
900
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[11]
901
set_location_assignment PIN_AA10 -to FL_ADDR[12]
902
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[12]
903
set_location_assignment PIN_AD8 -to FL_ADDR[13]
904
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[13]
905
set_location_assignment PIN_AC8 -to FL_ADDR[14]
906
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[14]
907
set_location_assignment PIN_Y10 -to FL_ADDR[15]
908
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[15]
909
set_location_assignment PIN_AA8 -to FL_ADDR[16]
910
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[16]
911
set_location_assignment PIN_AH12 -to FL_ADDR[17]
912
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[17]
913
set_location_assignment PIN_AC12 -to FL_ADDR[18]
914
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[18]
915
set_location_assignment PIN_AD12 -to FL_ADDR[19]
916
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[19]
917
set_location_assignment PIN_AE10 -to FL_ADDR[20]
918
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[20]
919
set_location_assignment PIN_AD10 -to FL_ADDR[21]
920
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[21]
921
set_location_assignment PIN_AD11 -to FL_ADDR[22]
922
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[22]
923
set_location_assignment PIN_AH8 -to FL_DQ[0]
924
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[0]
925
set_location_assignment PIN_AF10 -to FL_DQ[1]
926
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[1]
927
set_location_assignment PIN_AG10 -to FL_DQ[2]
928
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[2]
929
set_location_assignment PIN_AH10 -to FL_DQ[3]
930
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[3]
931
set_location_assignment PIN_AF11 -to FL_DQ[4]
932
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[4]
933
set_location_assignment PIN_AG11 -to FL_DQ[5]
934
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[5]
935
set_location_assignment PIN_AH11 -to FL_DQ[6]
936
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[6]
937
set_location_assignment PIN_AF12 -to FL_DQ[7]
938
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[7]
939
set_location_assignment PIN_AG7 -to FL_CE_N
940
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_CE_N
941
set_location_assignment PIN_AG8 -to FL_OE_N
942
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_OE_N
943
set_location_assignment PIN_AE11 -to FL_RST_N
944
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_RST_N
945
set_location_assignment PIN_Y1 -to FL_RY
946
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_RY
947
set_location_assignment PIN_AC10 -to FL_WE_N
948
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_WE_N
949
set_location_assignment PIN_AE12 -to FL_WP_N
950
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_WP_N
951
 
952
#============================================================
953
# End of pin assignments by Terasic System Builder
954
#============================================================
955
 
956
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
957
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
958
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
959
set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW"
960
set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)"
961
set_global_assignment -name VHDL_FILE memoryCores/vram.vhd
962
set_global_assignment -name VHDL_FILE memoryCores/charram.vhd
963
set_global_assignment -name VHDL_FILE vhdl/lcd.vhd
964
set_global_assignment -name VHDL_FILE memoryCores/rom.vhd
965
set_global_assignment -name VHDL_FILE vhdl/keyboard.VHD
966
set_global_assignment -name VHDL_FILE vhdl/ps2bkd.vhd
967
set_global_assignment -name VHDL_FILE vhdl/T80.vhd
968
set_global_assignment -name VHDL_FILE vhdl/T80_ALU.vhd
969
set_global_assignment -name VHDL_FILE vhdl/T80_MCode.vhd
970
set_global_assignment -name VHDL_FILE vhdl/T80_Pack.vhd
971
set_global_assignment -name VHDL_FILE vhdl/T80_Reg.vhd
972
set_global_assignment -name VHDL_FILE vhdl/T80se.vhd
973
set_global_assignment -name VHDL_FILE vhdl/char_rom.VHD
974
set_global_assignment -name VHDL_FILE vhdl/video.vhd
975
set_global_assignment -name VHDL_FILE vhdl/clk_div.vhd
976
set_global_assignment -name VHDL_FILE vhdl/decoder_7seg.vhd
977
set_global_assignment -name VHDL_FILE vhdl/z80soc.vhd
978
set_global_assignment -name VHDL_FILE vhdl/vga_sync.vhd
979
set_global_assignment -name VHDL_FILE vhdl/z80soc_pack.vhd
980
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.