OpenCores
URL https://opencores.org/ocsvn/z80soc/z80soc/trunk

Subversion Repositories z80soc

[/] [z80soc/] [trunk/] [V0.7.3/] [DE2115/] [073DE2115e.sta.rpt] - Blame information for rev 46

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 46 rrred
TimeQuest Timing Analyzer report for 073DE2115e
2
Sun Jun 19 13:46:22 2016
3
Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition
4
 
5
 
6
---------------------
7
; Table of Contents ;
8
---------------------
9
  1. Legal Notice
10
  2. TimeQuest Timing Analyzer Summary
11
  3. Parallel Compilation
12
  4. Clocks
13
  5. Slow 1200mV 85C Model Fmax Summary
14
  6. Timing Closure Recommendations
15
  7. Slow 1200mV 85C Model Setup Summary
16
  8. Slow 1200mV 85C Model Hold Summary
17
  9. Slow 1200mV 85C Model Recovery Summary
18
 10. Slow 1200mV 85C Model Removal Summary
19
 11. Slow 1200mV 85C Model Minimum Pulse Width Summary
20
 12. Slow 1200mV 85C Model Setup: 'SW[16]'
21
 13. Slow 1200mV 85C Model Setup: 'LCD:lcd_inst|clk_400hz_enable'
22
 14. Slow 1200mV 85C Model Setup: 'CLOCK_50'
23
 15. Slow 1200mV 85C Model Setup: 'clk_div:clkdiv_inst|clock_25MHz'
24
 16. Slow 1200mV 85C Model Setup: 'clk_div:clkdiv_inst|clock_100Hz'
25
 17. Slow 1200mV 85C Model Setup: 'T80se:z80_inst|MREQ_n'
26
 18. Slow 1200mV 85C Model Setup: 'ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered'
27
 19. Slow 1200mV 85C Model Setup: 'clk_div:clkdiv_inst|clock_25Mhz_int'
28
 20. Slow 1200mV 85C Model Setup: 'clk_div:clkdiv_inst|clock_1Mhz_int'
29
 21. Slow 1200mV 85C Model Setup: 'clk_div:clkdiv_inst|clock_100Khz_int'
30
 22. Slow 1200mV 85C Model Setup: 'clk_div:clkdiv_inst|clock_10Khz_int'
31
 23. Slow 1200mV 85C Model Setup: 'clk_div:clkdiv_inst|clock_1Khz_int'
32
 24. Slow 1200mV 85C Model Hold: 'SW[16]'
33
 25. Slow 1200mV 85C Model Hold: 'ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered'
34
 26. Slow 1200mV 85C Model Hold: 'CLOCK_50'
35
 27. Slow 1200mV 85C Model Hold: 'T80se:z80_inst|MREQ_n'
36
 28. Slow 1200mV 85C Model Hold: 'clk_div:clkdiv_inst|clock_100Khz_int'
37
 29. Slow 1200mV 85C Model Hold: 'clk_div:clkdiv_inst|clock_10Khz_int'
38
 30. Slow 1200mV 85C Model Hold: 'clk_div:clkdiv_inst|clock_1Mhz_int'
39
 31. Slow 1200mV 85C Model Hold: 'clk_div:clkdiv_inst|clock_25MHz'
40
 32. Slow 1200mV 85C Model Hold: 'clk_div:clkdiv_inst|clock_1Khz_int'
41
 33. Slow 1200mV 85C Model Hold: 'clk_div:clkdiv_inst|clock_100Hz'
42
 34. Slow 1200mV 85C Model Hold: 'clk_div:clkdiv_inst|clock_25Mhz_int'
43
 35. Slow 1200mV 85C Model Hold: 'LCD:lcd_inst|clk_400hz_enable'
44
 36. Slow 1200mV 85C Model Recovery: 'ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set'
45
 37. Slow 1200mV 85C Model Removal: 'ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set'
46
 38. Slow 1200mV 85C Model Minimum Pulse Width: 'SW[16]'
47
 39. Slow 1200mV 85C Model Minimum Pulse Width: 'CLOCK_50'
48
 40. Slow 1200mV 85C Model Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_25MHz'
49
 41. Slow 1200mV 85C Model Minimum Pulse Width: 'ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered'
50
 42. Slow 1200mV 85C Model Minimum Pulse Width: 'LCD:lcd_inst|clk_400hz_enable'
51
 43. Slow 1200mV 85C Model Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_25Mhz_int'
52
 44. Slow 1200mV 85C Model Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_100Khz_int'
53
 45. Slow 1200mV 85C Model Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_10Khz_int'
54
 46. Slow 1200mV 85C Model Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_1Khz_int'
55
 47. Slow 1200mV 85C Model Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_1Mhz_int'
56
 48. Slow 1200mV 85C Model Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_100Hz'
57
 49. Slow 1200mV 85C Model Minimum Pulse Width: 'ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set'
58
 50. Slow 1200mV 85C Model Minimum Pulse Width: 'T80se:z80_inst|MREQ_n'
59
 51. Setup Times
60
 52. Hold Times
61
 53. Clock to Output Times
62
 54. Minimum Clock to Output Times
63
 55. Output Enable Times
64
 56. Minimum Output Enable Times
65
 57. Output Disable Times
66
 58. Minimum Output Disable Times
67
 59. Slow 1200mV 85C Model Metastability Report
68
 60. Slow 1200mV 0C Model Fmax Summary
69
 61. Slow 1200mV 0C Model Setup Summary
70
 62. Slow 1200mV 0C Model Hold Summary
71
 63. Slow 1200mV 0C Model Recovery Summary
72
 64. Slow 1200mV 0C Model Removal Summary
73
 65. Slow 1200mV 0C Model Minimum Pulse Width Summary
74
 66. Slow 1200mV 0C Model Setup: 'SW[16]'
75
 67. Slow 1200mV 0C Model Setup: 'LCD:lcd_inst|clk_400hz_enable'
76
 68. Slow 1200mV 0C Model Setup: 'CLOCK_50'
77
 69. Slow 1200mV 0C Model Setup: 'clk_div:clkdiv_inst|clock_25MHz'
78
 70. Slow 1200mV 0C Model Setup: 'clk_div:clkdiv_inst|clock_100Hz'
79
 71. Slow 1200mV 0C Model Setup: 'T80se:z80_inst|MREQ_n'
80
 72. Slow 1200mV 0C Model Setup: 'ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered'
81
 73. Slow 1200mV 0C Model Setup: 'clk_div:clkdiv_inst|clock_25Mhz_int'
82
 74. Slow 1200mV 0C Model Setup: 'clk_div:clkdiv_inst|clock_1Mhz_int'
83
 75. Slow 1200mV 0C Model Setup: 'clk_div:clkdiv_inst|clock_100Khz_int'
84
 76. Slow 1200mV 0C Model Setup: 'clk_div:clkdiv_inst|clock_10Khz_int'
85
 77. Slow 1200mV 0C Model Setup: 'clk_div:clkdiv_inst|clock_1Khz_int'
86
 78. Slow 1200mV 0C Model Hold: 'SW[16]'
87
 79. Slow 1200mV 0C Model Hold: 'ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered'
88
 80. Slow 1200mV 0C Model Hold: 'CLOCK_50'
89
 81. Slow 1200mV 0C Model Hold: 'clk_div:clkdiv_inst|clock_100Khz_int'
90
 82. Slow 1200mV 0C Model Hold: 'clk_div:clkdiv_inst|clock_10Khz_int'
91
 83. Slow 1200mV 0C Model Hold: 'clk_div:clkdiv_inst|clock_1Mhz_int'
92
 84. Slow 1200mV 0C Model Hold: 'T80se:z80_inst|MREQ_n'
93
 85. Slow 1200mV 0C Model Hold: 'clk_div:clkdiv_inst|clock_25MHz'
94
 86. Slow 1200mV 0C Model Hold: 'clk_div:clkdiv_inst|clock_1Khz_int'
95
 87. Slow 1200mV 0C Model Hold: 'clk_div:clkdiv_inst|clock_100Hz'
96
 88. Slow 1200mV 0C Model Hold: 'clk_div:clkdiv_inst|clock_25Mhz_int'
97
 89. Slow 1200mV 0C Model Hold: 'LCD:lcd_inst|clk_400hz_enable'
98
 90. Slow 1200mV 0C Model Recovery: 'ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set'
99
 91. Slow 1200mV 0C Model Removal: 'ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set'
100
 92. Slow 1200mV 0C Model Minimum Pulse Width: 'SW[16]'
101
 93. Slow 1200mV 0C Model Minimum Pulse Width: 'CLOCK_50'
102
 94. Slow 1200mV 0C Model Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_25MHz'
103
 95. Slow 1200mV 0C Model Minimum Pulse Width: 'ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered'
104
 96. Slow 1200mV 0C Model Minimum Pulse Width: 'LCD:lcd_inst|clk_400hz_enable'
105
 97. Slow 1200mV 0C Model Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_25Mhz_int'
106
 98. Slow 1200mV 0C Model Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_100Khz_int'
107
 99. Slow 1200mV 0C Model Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_10Khz_int'
108
100. Slow 1200mV 0C Model Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_1Khz_int'
109
101. Slow 1200mV 0C Model Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_1Mhz_int'
110
102. Slow 1200mV 0C Model Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_100Hz'
111
103. Slow 1200mV 0C Model Minimum Pulse Width: 'ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set'
112
104. Slow 1200mV 0C Model Minimum Pulse Width: 'T80se:z80_inst|MREQ_n'
113
105. Setup Times
114
106. Hold Times
115
107. Clock to Output Times
116
108. Minimum Clock to Output Times
117
109. Output Enable Times
118
110. Minimum Output Enable Times
119
111. Output Disable Times
120
112. Minimum Output Disable Times
121
113. Slow 1200mV 0C Model Metastability Report
122
114. Fast 1200mV 0C Model Setup Summary
123
115. Fast 1200mV 0C Model Hold Summary
124
116. Fast 1200mV 0C Model Recovery Summary
125
117. Fast 1200mV 0C Model Removal Summary
126
118. Fast 1200mV 0C Model Minimum Pulse Width Summary
127
119. Fast 1200mV 0C Model Setup: 'LCD:lcd_inst|clk_400hz_enable'
128
120. Fast 1200mV 0C Model Setup: 'SW[16]'
129
121. Fast 1200mV 0C Model Setup: 'CLOCK_50'
130
122. Fast 1200mV 0C Model Setup: 'clk_div:clkdiv_inst|clock_100Hz'
131
123. Fast 1200mV 0C Model Setup: 'clk_div:clkdiv_inst|clock_25MHz'
132
124. Fast 1200mV 0C Model Setup: 'T80se:z80_inst|MREQ_n'
133
125. Fast 1200mV 0C Model Setup: 'ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered'
134
126. Fast 1200mV 0C Model Setup: 'clk_div:clkdiv_inst|clock_25Mhz_int'
135
127. Fast 1200mV 0C Model Setup: 'clk_div:clkdiv_inst|clock_100Khz_int'
136
128. Fast 1200mV 0C Model Setup: 'clk_div:clkdiv_inst|clock_1Mhz_int'
137
129. Fast 1200mV 0C Model Setup: 'clk_div:clkdiv_inst|clock_10Khz_int'
138
130. Fast 1200mV 0C Model Setup: 'clk_div:clkdiv_inst|clock_1Khz_int'
139
131. Fast 1200mV 0C Model Hold: 'SW[16]'
140
132. Fast 1200mV 0C Model Hold: 'ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered'
141
133. Fast 1200mV 0C Model Hold: 'CLOCK_50'
142
134. Fast 1200mV 0C Model Hold: 'T80se:z80_inst|MREQ_n'
143
135. Fast 1200mV 0C Model Hold: 'clk_div:clkdiv_inst|clock_100Khz_int'
144
136. Fast 1200mV 0C Model Hold: 'clk_div:clkdiv_inst|clock_10Khz_int'
145
137. Fast 1200mV 0C Model Hold: 'clk_div:clkdiv_inst|clock_1Mhz_int'
146
138. Fast 1200mV 0C Model Hold: 'clk_div:clkdiv_inst|clock_25MHz'
147
139. Fast 1200mV 0C Model Hold: 'clk_div:clkdiv_inst|clock_1Khz_int'
148
140. Fast 1200mV 0C Model Hold: 'clk_div:clkdiv_inst|clock_100Hz'
149
141. Fast 1200mV 0C Model Hold: 'clk_div:clkdiv_inst|clock_25Mhz_int'
150
142. Fast 1200mV 0C Model Hold: 'LCD:lcd_inst|clk_400hz_enable'
151
143. Fast 1200mV 0C Model Recovery: 'ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set'
152
144. Fast 1200mV 0C Model Removal: 'ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set'
153
145. Fast 1200mV 0C Model Minimum Pulse Width: 'SW[16]'
154
146. Fast 1200mV 0C Model Minimum Pulse Width: 'CLOCK_50'
155
147. Fast 1200mV 0C Model Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_25MHz'
156
148. Fast 1200mV 0C Model Minimum Pulse Width: 'ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered'
157
149. Fast 1200mV 0C Model Minimum Pulse Width: 'LCD:lcd_inst|clk_400hz_enable'
158
150. Fast 1200mV 0C Model Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_25Mhz_int'
159
151. Fast 1200mV 0C Model Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_100Khz_int'
160
152. Fast 1200mV 0C Model Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_10Khz_int'
161
153. Fast 1200mV 0C Model Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_1Khz_int'
162
154. Fast 1200mV 0C Model Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_1Mhz_int'
163
155. Fast 1200mV 0C Model Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_100Hz'
164
156. Fast 1200mV 0C Model Minimum Pulse Width: 'ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set'
165
157. Fast 1200mV 0C Model Minimum Pulse Width: 'T80se:z80_inst|MREQ_n'
166
158. Setup Times
167
159. Hold Times
168
160. Clock to Output Times
169
161. Minimum Clock to Output Times
170
162. Output Enable Times
171
163. Minimum Output Enable Times
172
164. Output Disable Times
173
165. Minimum Output Disable Times
174
166. Fast 1200mV 0C Model Metastability Report
175
167. Multicorner Timing Analysis Summary
176
168. Setup Times
177
169. Hold Times
178
170. Clock to Output Times
179
171. Minimum Clock to Output Times
180
172. Board Trace Model Assignments
181
173. Input Transition Times
182
174. Signal Integrity Metrics (Slow 1200mv 0c Model)
183
175. Signal Integrity Metrics (Slow 1200mv 85c Model)
184
176. Signal Integrity Metrics (Fast 1200mv 0c Model)
185
177. Setup Transfers
186
178. Hold Transfers
187
179. Recovery Transfers
188
180. Removal Transfers
189
181. Report TCCS
190
182. Report RSKM
191
183. Unconstrained Paths
192
184. TimeQuest Timing Analyzer Messages
193
 
194
 
195
 
196
----------------
197
; Legal Notice ;
198
----------------
199
Copyright (C) 1991-2013 Altera Corporation
200
Your use of Altera Corporation's design tools, logic functions
201
and other software and tools, and its AMPP partner logic
202
functions, and any output files from any of the foregoing
203
(including device programming or simulation files), and any
204
associated documentation or information are expressly subject
205
to the terms and conditions of the Altera Program License
206
Subscription Agreement, Altera MegaCore Function License
207
Agreement, or other applicable license agreement, including,
208
without limitation, that your use is for the sole purpose of
209
programming logic devices manufactured by Altera and sold by
210
Altera or its authorized distributors.  Please refer to the
211
applicable agreement for further details.
212
 
213
 
214
 
215
+----------------------------------------------------------------------------------------+
216
; TimeQuest Timing Analyzer Summary                                                      ;
217
+--------------------+-------------------------------------------------------------------+
218
; Quartus II Version ; Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition ;
219
; Revision Name      ; 073DE2115e                                                        ;
220
; Device Family      ; Cyclone IV E                                                      ;
221
; Device Name        ; EP4CE115F29C7                                                     ;
222
; Timing Models      ; Final                                                             ;
223
; Delay Model        ; Combined                                                          ;
224
; Rise/Fall Delays   ; Enabled                                                           ;
225
+--------------------+-------------------------------------------------------------------+
226
 
227
 
228
Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time.
229
+-------------------------------------+
230
; Parallel Compilation                ;
231
+----------------------------+--------+
232
; Processors                 ; Number ;
233
+----------------------------+--------+
234
; Number detected on machine ; 2      ;
235
; Maximum allowed            ; 1      ;
236
+----------------------------+--------+
237
 
238
 
239
+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
240
; Clocks                                                                                                                                                                                                                                                                                   ;
241
+-------------------------------------------------------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+-----------------------------------------------------------------+
242
; Clock Name                                                  ; Type ; Period ; Frequency  ; Rise  ; Fall  ; Duty Cycle ; Divide by ; Multiply by ; Phase ; Offset ; Edge List ; Edge Shift ; Inverted ; Master ; Source ; Targets                                                         ;
243
+-------------------------------------------------------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+-----------------------------------------------------------------+
244
; clk_div:clkdiv_inst|clock_1Khz_int                          ; Base ; 1.000  ; 1000.0 MHz ; 0.000 ; 0.500 ;            ;           ;             ;       ;        ;           ;            ;          ;        ;        ; { clk_div:clkdiv_inst|clock_1Khz_int }                          ;
245
; clk_div:clkdiv_inst|clock_1Mhz_int                          ; Base ; 1.000  ; 1000.0 MHz ; 0.000 ; 0.500 ;            ;           ;             ;       ;        ;           ;            ;          ;        ;        ; { clk_div:clkdiv_inst|clock_1Mhz_int }                          ;
246
; clk_div:clkdiv_inst|clock_10Khz_int                         ; Base ; 1.000  ; 1000.0 MHz ; 0.000 ; 0.500 ;            ;           ;             ;       ;        ;           ;            ;          ;        ;        ; { clk_div:clkdiv_inst|clock_10Khz_int }                         ;
247
; clk_div:clkdiv_inst|clock_25MHz                             ; Base ; 1.000  ; 1000.0 MHz ; 0.000 ; 0.500 ;            ;           ;             ;       ;        ;           ;            ;          ;        ;        ; { clk_div:clkdiv_inst|clock_25MHz }                             ;
248
; clk_div:clkdiv_inst|clock_25Mhz_int                         ; Base ; 1.000  ; 1000.0 MHz ; 0.000 ; 0.500 ;            ;           ;             ;       ;        ;           ;            ;          ;        ;        ; { clk_div:clkdiv_inst|clock_25Mhz_int }                         ;
249
; clk_div:clkdiv_inst|clock_100Hz                             ; Base ; 1.000  ; 1000.0 MHz ; 0.000 ; 0.500 ;            ;           ;             ;       ;        ;           ;            ;          ;        ;        ; { clk_div:clkdiv_inst|clock_100Hz }                             ;
250
; clk_div:clkdiv_inst|clock_100Khz_int                        ; Base ; 1.000  ; 1000.0 MHz ; 0.000 ; 0.500 ;            ;           ;             ;       ;        ;           ;            ;          ;        ;        ; { clk_div:clkdiv_inst|clock_100Khz_int }                        ;
251
; CLOCK_50                                                    ; Base ; 1.000  ; 1000.0 MHz ; 0.000 ; 0.500 ;            ;           ;             ;       ;        ;           ;            ;          ;        ;        ; { CLOCK_50 }                                                    ;
252
; LCD:lcd_inst|clk_400hz_enable                               ; Base ; 1.000  ; 1000.0 MHz ; 0.000 ; 0.500 ;            ;           ;             ;       ;        ;           ;            ;          ;        ;        ; { LCD:lcd_inst|clk_400hz_enable }                               ;
253
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Base ; 1.000  ; 1000.0 MHz ; 0.000 ; 0.500 ;            ;           ;             ;       ;        ;           ;            ;          ;        ;        ; { ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered } ;
254
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set             ; Base ; 1.000  ; 1000.0 MHz ; 0.000 ; 0.500 ;            ;           ;             ;       ;        ;           ;            ;          ;        ;        ; { ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set }             ;
255
; SW[16]                                                      ; Base ; 1.000  ; 1000.0 MHz ; 0.000 ; 0.500 ;            ;           ;             ;       ;        ;           ;            ;          ;        ;        ; { SW[16] }                                                      ;
256
; T80se:z80_inst|MREQ_n                                       ; Base ; 1.000  ; 1000.0 MHz ; 0.000 ; 0.500 ;            ;           ;             ;       ;        ;           ;            ;          ;        ;        ; { T80se:z80_inst|MREQ_n }                                       ;
257
+-------------------------------------------------------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+-----------------------------------------------------------------+
258
 
259
 
260
+---------------------------------------------------------------------------------------------------------------------------------------------+
261
; Slow 1200mV 85C Model Fmax Summary                                                                                                          ;
262
+------------+-----------------+-------------------------------------------------------------+------------------------------------------------+
263
; Fmax       ; Restricted Fmax ; Clock Name                                                  ; Note                                           ;
264
+------------+-----------------+-------------------------------------------------------------+------------------------------------------------+
265
; 73.86 MHz  ; 73.86 MHz       ; SW[16]                                                      ;                                                ;
266
; 149.37 MHz ; 149.37 MHz      ; clk_div:clkdiv_inst|clock_25MHz                             ;                                                ;
267
; 234.58 MHz ; 234.58 MHz      ; CLOCK_50                                                    ;                                                ;
268
; 306.56 MHz ; 306.56 MHz      ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ;                                                ;
269
; 527.7 MHz  ; 437.64 MHz      ; clk_div:clkdiv_inst|clock_25Mhz_int                         ; limit due to minimum period restriction (tmin) ;
270
; 605.69 MHz ; 437.64 MHz      ; clk_div:clkdiv_inst|clock_1Mhz_int                          ; limit due to minimum period restriction (tmin) ;
271
; 653.59 MHz ; 437.64 MHz      ; clk_div:clkdiv_inst|clock_100Khz_int                        ; limit due to minimum period restriction (tmin) ;
272
; 657.46 MHz ; 437.64 MHz      ; clk_div:clkdiv_inst|clock_10Khz_int                         ; limit due to minimum period restriction (tmin) ;
273
; 840.34 MHz ; 437.64 MHz      ; clk_div:clkdiv_inst|clock_1Khz_int                          ; limit due to minimum period restriction (tmin) ;
274
; 920.81 MHz ; 437.64 MHz      ; clk_div:clkdiv_inst|clock_100Hz                             ; limit due to minimum period restriction (tmin) ;
275
+------------+-----------------+-------------------------------------------------------------+------------------------------------------------+
276
This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods.  FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock.  Paths of different clocks, including generated clocks, are ignored.  For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis.
277
 
278
 
279
----------------------------------
280
; Timing Closure Recommendations ;
281
----------------------------------
282
HTML report is unavailable in plain text report export.
283
 
284
 
285
+---------------------------------------------------------------------------------------+
286
; Slow 1200mV 85C Model Setup Summary                                                   ;
287
+-------------------------------------------------------------+---------+---------------+
288
; Clock                                                       ; Slack   ; End Point TNS ;
289
+-------------------------------------------------------------+---------+---------------+
290
; SW[16]                                                      ; -12.540 ; -3745.302     ;
291
; LCD:lcd_inst|clk_400hz_enable                               ; -11.704 ; -88.730       ;
292
; CLOCK_50                                                    ; -7.823  ; -185.058      ;
293
; clk_div:clkdiv_inst|clock_25MHz                             ; -5.695  ; -252.613      ;
294
; clk_div:clkdiv_inst|clock_100Hz                             ; -5.245  ; -10.490       ;
295
; T80se:z80_inst|MREQ_n                                       ; -2.601  ; -435.678      ;
296
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; -2.262  ; -38.292       ;
297
; clk_div:clkdiv_inst|clock_25Mhz_int                         ; -0.895  ; -4.526        ;
298
; clk_div:clkdiv_inst|clock_1Mhz_int                          ; -0.651  ; -1.066        ;
299
; clk_div:clkdiv_inst|clock_100Khz_int                        ; -0.530  ; -0.826        ;
300
; clk_div:clkdiv_inst|clock_10Khz_int                         ; -0.521  ; -0.804        ;
301
; clk_div:clkdiv_inst|clock_1Khz_int                          ; -0.190  ; -0.495        ;
302
+-------------------------------------------------------------+---------+---------------+
303
 
304
 
305
+--------------------------------------------------------------------------------------+
306
; Slow 1200mV 85C Model Hold Summary                                                   ;
307
+-------------------------------------------------------------+--------+---------------+
308
; Clock                                                       ; Slack  ; End Point TNS ;
309
+-------------------------------------------------------------+--------+---------------+
310
; SW[16]                                                      ; -2.980 ; -135.492      ;
311
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; -0.439 ; -0.439        ;
312
; CLOCK_50                                                    ; -0.254 ; -0.669        ;
313
; T80se:z80_inst|MREQ_n                                       ; 0.033  ; 0.000         ;
314
; clk_div:clkdiv_inst|clock_100Khz_int                        ; 0.079  ; 0.000         ;
315
; clk_div:clkdiv_inst|clock_10Khz_int                         ; 0.098  ; 0.000         ;
316
; clk_div:clkdiv_inst|clock_1Mhz_int                          ; 0.189  ; 0.000         ;
317
; clk_div:clkdiv_inst|clock_25MHz                             ; 0.343  ; 0.000         ;
318
; clk_div:clkdiv_inst|clock_1Khz_int                          ; 0.440  ; 0.000         ;
319
; clk_div:clkdiv_inst|clock_100Hz                             ; 0.454  ; 0.000         ;
320
; clk_div:clkdiv_inst|clock_25Mhz_int                         ; 0.472  ; 0.000         ;
321
; LCD:lcd_inst|clk_400hz_enable                               ; 2.342  ; 0.000         ;
322
+-------------------------------------------------------------+--------+---------------+
323
 
324
 
325
+--------------------------------------------------------------------------+
326
; Slow 1200mV 85C Model Recovery Summary                                   ;
327
+-------------------------------------------------+--------+---------------+
328
; Clock                                           ; Slack  ; End Point TNS ;
329
+-------------------------------------------------+--------+---------------+
330
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set ; -2.630 ; -2.630        ;
331
+-------------------------------------------------+--------+---------------+
332
 
333
 
334
+-------------------------------------------------------------------------+
335
; Slow 1200mV 85C Model Removal Summary                                   ;
336
+-------------------------------------------------+-------+---------------+
337
; Clock                                           ; Slack ; End Point TNS ;
338
+-------------------------------------------------+-------+---------------+
339
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set ; 3.090 ; 0.000         ;
340
+-------------------------------------------------+-------+---------------+
341
 
342
 
343
+--------------------------------------------------------------------------------------+
344
; Slow 1200mV 85C Model Minimum Pulse Width Summary                                    ;
345
+-------------------------------------------------------------+--------+---------------+
346
; Clock                                                       ; Slack  ; End Point TNS ;
347
+-------------------------------------------------------------+--------+---------------+
348
; SW[16]                                                      ; -3.000 ; -612.867      ;
349
; CLOCK_50                                                    ; -3.000 ; -141.780      ;
350
; clk_div:clkdiv_inst|clock_25MHz                             ; -2.693 ; -178.641      ;
351
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; -1.285 ; -29.555       ;
352
; LCD:lcd_inst|clk_400hz_enable                               ; -1.285 ; -10.280       ;
353
; clk_div:clkdiv_inst|clock_25Mhz_int                         ; -1.285 ; -7.710        ;
354
; clk_div:clkdiv_inst|clock_100Khz_int                        ; -1.285 ; -5.140        ;
355
; clk_div:clkdiv_inst|clock_10Khz_int                         ; -1.285 ; -5.140        ;
356
; clk_div:clkdiv_inst|clock_1Khz_int                          ; -1.285 ; -5.140        ;
357
; clk_div:clkdiv_inst|clock_1Mhz_int                          ; -1.285 ; -5.140        ;
358
; clk_div:clkdiv_inst|clock_100Hz                             ; -1.285 ; -2.570        ;
359
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set             ; -1.285 ; -1.285        ;
360
; T80se:z80_inst|MREQ_n                                       ; 0.320  ; 0.000         ;
361
+-------------------------------------------------------------+--------+---------------+
362
 
363
 
364
+------------------------------------------------------------------------------------------------------------------------------------------------------------------+
365
; Slow 1200mV 85C Model Setup: 'SW[16]'                                                                                                                            ;
366
+---------+---------------------------------+------------------------------------------------+--------------+-------------+--------------+------------+------------+
367
; Slack   ; From Node                       ; To Node                                        ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
368
+---------+---------------------------------+------------------------------------------------+--------------+-------------+--------------+------------+------------+
369
; -12.540 ; T80se:z80_inst|T80:u0|IR[6]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.089     ; 13.449     ;
370
; -12.536 ; T80se:z80_inst|T80:u0|IR[6]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.089     ; 13.445     ;
371
; -12.487 ; T80se:z80_inst|T80:u0|MCycle[2] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.122     ; 13.363     ;
372
; -12.485 ; T80se:z80_inst|T80:u0|IR[1]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.122     ; 13.361     ;
373
; -12.483 ; T80se:z80_inst|T80:u0|MCycle[2] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.122     ; 13.359     ;
374
; -12.481 ; T80se:z80_inst|T80:u0|IR[1]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.122     ; 13.357     ;
375
; -12.480 ; T80se:z80_inst|T80:u0|IR[6]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.083     ; 13.395     ;
376
; -12.478 ; T80se:z80_inst|T80:u0|IR[6]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.083     ; 13.393     ;
377
; -12.474 ; T80se:z80_inst|T80:u0|MCycle[0] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.122     ; 13.350     ;
378
; -12.470 ; T80se:z80_inst|T80:u0|MCycle[0] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.122     ; 13.346     ;
379
; -12.461 ; T80se:z80_inst|T80:u0|F[0]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.089     ; 13.370     ;
380
; -12.457 ; T80se:z80_inst|T80:u0|F[0]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.089     ; 13.366     ;
381
; -12.450 ; T80se:z80_inst|T80:u0|MCycle[1] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.122     ; 13.326     ;
382
; -12.446 ; T80se:z80_inst|T80:u0|MCycle[1] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.122     ; 13.322     ;
383
; -12.442 ; T80se:z80_inst|T80:u0|IR[5]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.089     ; 13.351     ;
384
; -12.438 ; T80se:z80_inst|T80:u0|IR[5]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.089     ; 13.347     ;
385
; -12.433 ; T80se:z80_inst|T80:u0|IR[2]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.122     ; 13.309     ;
386
; -12.429 ; T80se:z80_inst|T80:u0|IR[2]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.122     ; 13.305     ;
387
; -12.427 ; T80se:z80_inst|T80:u0|MCycle[2] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.116     ; 13.309     ;
388
; -12.425 ; T80se:z80_inst|T80:u0|MCycle[2] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.116     ; 13.307     ;
389
; -12.425 ; T80se:z80_inst|T80:u0|IR[1]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.116     ; 13.307     ;
390
; -12.423 ; T80se:z80_inst|T80:u0|IR[1]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.116     ; 13.305     ;
391
; -12.418 ; T80se:z80_inst|T80:u0|F[2]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.116     ; 13.300     ;
392
; -12.414 ; T80se:z80_inst|T80:u0|F[2]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.116     ; 13.296     ;
393
; -12.414 ; T80se:z80_inst|T80:u0|MCycle[0] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.116     ; 13.296     ;
394
; -12.413 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|A[14]                    ; SW[16]       ; SW[16]      ; 1.000        ; -2.770     ; 10.641     ;
395
; -12.412 ; T80se:z80_inst|T80:u0|MCycle[0] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.116     ; 13.294     ;
396
; -12.401 ; T80se:z80_inst|T80:u0|F[0]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.083     ; 13.316     ;
397
; -12.399 ; T80se:z80_inst|T80:u0|F[0]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.083     ; 13.314     ;
398
; -12.396 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.089     ; 13.305     ;
399
; -12.392 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.089     ; 13.301     ;
400
; -12.390 ; T80se:z80_inst|T80:u0|MCycle[1] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.116     ; 13.272     ;
401
; -12.388 ; T80se:z80_inst|T80:u0|MCycle[1] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.116     ; 13.270     ;
402
; -12.382 ; T80se:z80_inst|T80:u0|IR[5]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.083     ; 13.297     ;
403
; -12.380 ; T80se:z80_inst|T80:u0|F[7]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.116     ; 13.262     ;
404
; -12.380 ; T80se:z80_inst|T80:u0|IR[5]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.083     ; 13.295     ;
405
; -12.377 ; T80se:z80_inst|T80:u0|MCycle[0] ; T80se:z80_inst|T80:u0|A[14]                    ; SW[16]       ; SW[16]      ; 1.000        ; -2.779     ; 10.596     ;
406
; -12.377 ; T80se:z80_inst|T80:u0|IR[0]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.121     ; 13.254     ;
407
; -12.376 ; T80se:z80_inst|T80:u0|F[7]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.116     ; 13.258     ;
408
; -12.373 ; T80se:z80_inst|T80:u0|IR[2]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.116     ; 13.255     ;
409
; -12.373 ; T80se:z80_inst|T80:u0|IR[0]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.121     ; 13.250     ;
410
; -12.371 ; T80se:z80_inst|T80:u0|IR[2]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.116     ; 13.253     ;
411
; -12.358 ; T80se:z80_inst|T80:u0|F[2]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.110     ; 13.246     ;
412
; -12.356 ; T80se:z80_inst|T80:u0|F[2]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.110     ; 13.244     ;
413
; -12.339 ; T80se:z80_inst|T80:u0|F[0]      ; T80se:z80_inst|T80:u0|A[14]                    ; SW[16]       ; SW[16]      ; 1.000        ; -2.770     ; 10.567     ;
414
; -12.336 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.083     ; 13.251     ;
415
; -12.335 ; T80se:z80_inst|T80:u0|IR[7]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.122     ; 13.211     ;
416
; -12.334 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.083     ; 13.249     ;
417
; -12.331 ; T80se:z80_inst|T80:u0|IR[7]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.122     ; 13.207     ;
418
; -12.320 ; T80se:z80_inst|T80:u0|F[7]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.110     ; 13.208     ;
419
; -12.318 ; T80se:z80_inst|T80:u0|F[7]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.110     ; 13.206     ;
420
; -12.317 ; T80se:z80_inst|T80:u0|IR[0]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.115     ; 13.200     ;
421
; -12.315 ; T80se:z80_inst|T80:u0|IR[0]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.115     ; 13.198     ;
422
; -12.315 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.089     ; 13.224     ;
423
; -12.311 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.089     ; 13.220     ;
424
; -12.293 ; T80se:z80_inst|T80:u0|IR[6]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.082     ; 13.209     ;
425
; -12.289 ; T80se:z80_inst|T80:u0|IR[6]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][3] ; SW[16]       ; SW[16]      ; 1.000        ; -0.087     ; 13.200     ;
426
; -12.288 ; T80se:z80_inst|T80:u0|IR[6]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][3] ; SW[16]       ; SW[16]      ; 1.000        ; -0.087     ; 13.199     ;
427
; -12.275 ; T80se:z80_inst|T80:u0|IR[7]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.116     ; 13.157     ;
428
; -12.273 ; T80se:z80_inst|T80:u0|F[2]      ; T80se:z80_inst|T80:u0|A[14]                    ; SW[16]       ; SW[16]      ; 1.000        ; -2.773     ; 10.498     ;
429
; -12.273 ; T80se:z80_inst|T80:u0|IR[7]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.116     ; 13.155     ;
430
; -12.269 ; T80se:z80_inst|T80:u0|IR[5]     ; T80se:z80_inst|T80:u0|A[14]                    ; SW[16]       ; SW[16]      ; 1.000        ; -2.770     ; 10.497     ;
431
; -12.259 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|A[9]                     ; SW[16]       ; SW[16]      ; 1.000        ; -2.757     ; 10.500     ;
432
; -12.259 ; T80se:z80_inst|T80:u0|IR[2]     ; T80se:z80_inst|T80:u0|A[14]                    ; SW[16]       ; SW[16]      ; 1.000        ; -2.779     ; 10.478     ;
433
; -12.255 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.083     ; 13.170     ;
434
; -12.253 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.083     ; 13.168     ;
435
; -12.252 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|A[8]                     ; SW[16]       ; SW[16]      ; 1.000        ; -2.757     ; 10.493     ;
436
; -12.244 ; T80se:z80_inst|T80:u0|IR[6]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][3] ; SW[16]       ; SW[16]      ; 1.000        ; -0.088     ; 13.154     ;
437
; -12.244 ; T80se:z80_inst|T80:u0|IR[6]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][3] ; SW[16]       ; SW[16]      ; 1.000        ; -0.088     ; 13.154     ;
438
; -12.242 ; T80se:z80_inst|T80:u0|IR[6]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][3] ; SW[16]       ; SW[16]      ; 1.000        ; -0.086     ; 13.154     ;
439
; -12.242 ; T80se:z80_inst|T80:u0|IR[6]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][3] ; SW[16]       ; SW[16]      ; 1.000        ; -0.086     ; 13.154     ;
440
; -12.240 ; T80se:z80_inst|T80:u0|MCycle[2] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.115     ; 13.123     ;
441
; -12.239 ; T80se:z80_inst|T80:u0|IR[3]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.089     ; 13.148     ;
442
; -12.238 ; T80se:z80_inst|T80:u0|IR[1]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.115     ; 13.121     ;
443
; -12.236 ; T80se:z80_inst|T80:u0|MCycle[2] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][3] ; SW[16]       ; SW[16]      ; 1.000        ; -0.120     ; 13.114     ;
444
; -12.235 ; T80se:z80_inst|T80:u0|F[7]      ; T80se:z80_inst|T80:u0|A[14]                    ; SW[16]       ; SW[16]      ; 1.000        ; -2.773     ; 10.460     ;
445
; -12.235 ; T80se:z80_inst|T80:u0|MCycle[2] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][3] ; SW[16]       ; SW[16]      ; 1.000        ; -0.120     ; 13.113     ;
446
; -12.235 ; T80se:z80_inst|T80:u0|IR[3]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.089     ; 13.144     ;
447
; -12.234 ; T80se:z80_inst|T80:u0|IR[1]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][3] ; SW[16]       ; SW[16]      ; 1.000        ; -0.120     ; 13.112     ;
448
; -12.233 ; T80se:z80_inst|T80:u0|IR[1]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][3] ; SW[16]       ; SW[16]      ; 1.000        ; -0.120     ; 13.111     ;
449
; -12.232 ; T80se:z80_inst|T80:u0|IR[6]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][6] ; SW[16]       ; SW[16]      ; 1.000        ; -0.086     ; 13.144     ;
450
; -12.229 ; T80se:z80_inst|T80:u0|IR[7]     ; T80se:z80_inst|T80:u0|A[14]                    ; SW[16]       ; SW[16]      ; 1.000        ; -2.779     ; 10.448     ;
451
; -12.227 ; T80se:z80_inst|T80:u0|MCycle[0] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.115     ; 13.110     ;
452
; -12.223 ; T80se:z80_inst|T80:u0|MCycle[2] ; T80se:z80_inst|T80:u0|A[14]                    ; SW[16]       ; SW[16]      ; 1.000        ; -2.779     ; 10.442     ;
453
; -12.223 ; T80se:z80_inst|T80:u0|MCycle[0] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][3] ; SW[16]       ; SW[16]      ; 1.000        ; -0.120     ; 13.101     ;
454
; -12.222 ; T80se:z80_inst|T80:u0|MCycle[0] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][3] ; SW[16]       ; SW[16]      ; 1.000        ; -0.120     ; 13.100     ;
455
; -12.214 ; T80se:z80_inst|T80:u0|IR[6]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][5] ; SW[16]       ; SW[16]      ; 1.000        ; -0.087     ; 13.125     ;
456
; -12.214 ; T80se:z80_inst|T80:u0|F[0]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.082     ; 13.130     ;
457
; -12.212 ; T80se:z80_inst|T80:u0|IR[6]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][5] ; SW[16]       ; SW[16]      ; 1.000        ; -0.087     ; 13.123     ;
458
; -12.211 ; T80se:z80_inst|T80:u0|MCycle[0] ; T80se:z80_inst|T80:u0|A[9]                     ; SW[16]       ; SW[16]      ; 1.000        ; -2.766     ; 10.443     ;
459
; -12.210 ; T80se:z80_inst|T80:u0|F[0]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][3] ; SW[16]       ; SW[16]      ; 1.000        ; -0.087     ; 13.121     ;
460
; -12.209 ; T80se:z80_inst|T80:u0|F[0]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][3] ; SW[16]       ; SW[16]      ; 1.000        ; -0.087     ; 13.120     ;
461
; -12.205 ; T80se:z80_inst|T80:u0|IR[1]     ; T80se:z80_inst|T80:u0|A[14]                    ; SW[16]       ; SW[16]      ; 1.000        ; -2.779     ; 10.424     ;
462
; -12.203 ; T80se:z80_inst|T80:u0|MCycle[1] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.115     ; 13.086     ;
463
; -12.202 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|A[15]                    ; SW[16]       ; SW[16]      ; 1.000        ; -2.770     ; 10.430     ;
464
; -12.199 ; T80se:z80_inst|T80:u0|MCycle[1] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][3] ; SW[16]       ; SW[16]      ; 1.000        ; -0.120     ; 13.077     ;
465
; -12.198 ; T80se:z80_inst|T80:u0|MCycle[1] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][3] ; SW[16]       ; SW[16]      ; 1.000        ; -0.120     ; 13.076     ;
466
; -12.196 ; T80se:z80_inst|T80:u0|IR[6]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][1] ; SW[16]       ; SW[16]      ; 1.000        ; -0.087     ; 13.107     ;
467
; -12.195 ; T80se:z80_inst|T80:u0|MCycle[0] ; T80se:z80_inst|T80:u0|A[8]                     ; SW[16]       ; SW[16]      ; 1.000        ; -2.766     ; 10.427     ;
468
; -12.195 ; T80se:z80_inst|T80:u0|IR[5]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.082     ; 13.111     ;
469
+---------+---------------------------------+------------------------------------------------+--------------+-------------+--------------+------------+------------+
470
 
471
 
472
+----------------------------------------------------------------------------------------------------------------------------------------------+
473
; Slow 1200mV 85C Model Setup: 'LCD:lcd_inst|clk_400hz_enable'                                                                                 ;
474
+---------+----------------+------------------+-----------------------+-------------------------------+--------------+------------+------------+
475
; Slack   ; From Node      ; To Node          ; Launch Clock          ; Latch Clock                   ; Relationship ; Clock Skew ; Data Delay ;
476
+---------+----------------+------------------+-----------------------+-------------------------------+--------------+------------+------------+
477
; -11.704 ; lcdvram[28][0] ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -8.153     ; 4.059      ;
478
; -11.460 ; lcdvram[19][0] ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -8.784     ; 3.184      ;
479
; -11.453 ; lcdvram[31][0] ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -8.726     ; 3.235      ;
480
; -11.372 ; lcdvram[20][3] ; next_char_sig[3] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -8.410     ; 3.470      ;
481
; -11.283 ; lcdvram[31][5] ; next_char_sig[5] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -8.633     ; 3.158      ;
482
; -11.250 ; lcdvram[22][0] ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.815     ; 3.943      ;
483
; -11.250 ; lcdvram[30][0] ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.875     ; 3.883      ;
484
; -11.154 ; lcdvram[22][3] ; next_char_sig[3] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.770     ; 3.892      ;
485
; -11.137 ; lcdvram[22][5] ; next_char_sig[5] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.720     ; 3.925      ;
486
; -11.098 ; lcdvram[19][1] ; next_char_sig[1] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -8.713     ; 2.893      ;
487
; -11.042 ; lcdvram[10][7] ; next_char_sig[7] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.046     ; 4.504      ;
488
; -11.019 ; lcdvram[16][0] ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -8.686     ; 2.841      ;
489
; -11.013 ; lcdvram[16][3] ; next_char_sig[3] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -8.822     ; 2.699      ;
490
; -10.986 ; lcdvram[3][7]  ; next_char_sig[7] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.035     ; 4.459      ;
491
; -10.971 ; lcdvram[31][2] ; next_char_sig[2] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -8.686     ; 2.793      ;
492
; -10.954 ; lcdvram[19][3] ; next_char_sig[3] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -8.590     ; 2.872      ;
493
; -10.944 ; lcdvram[16][5] ; next_char_sig[5] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -8.744     ; 2.708      ;
494
; -10.923 ; lcdvram[17][0] ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -8.478     ; 2.953      ;
495
; -10.916 ; lcdvram[6][0]  ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.409     ; 4.015      ;
496
; -10.913 ; lcdvram[19][7] ; next_char_sig[7] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -8.813     ; 2.608      ;
497
; -10.841 ; lcdvram[17][2] ; next_char_sig[2] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -8.495     ; 2.854      ;
498
; -10.829 ; lcdvram[27][3] ; next_char_sig[3] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -8.506     ; 2.831      ;
499
; -10.801 ; lcdvram[19][6] ; next_char_sig[6] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -8.693     ; 2.616      ;
500
; -10.791 ; lcdvram[0][5]  ; next_char_sig[5] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.541     ; 3.758      ;
501
; -10.770 ; lcdvram[4][0]  ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.116     ; 4.162      ;
502
; -10.768 ; lcdvram[27][1] ; next_char_sig[1] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -8.443     ; 2.833      ;
503
; -10.745 ; lcdvram[17][7] ; next_char_sig[7] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -8.518     ; 2.735      ;
504
; -10.740 ; lcdvram[24][0] ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -8.570     ; 2.678      ;
505
; -10.738 ; lcdvram[28][3] ; next_char_sig[3] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -8.267     ; 2.979      ;
506
; -10.733 ; lcdvram[17][1] ; next_char_sig[1] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -8.400     ; 2.841      ;
507
; -10.731 ; lcdvram[19][5] ; next_char_sig[5] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -8.508     ; 2.731      ;
508
; -10.721 ; lcdvram[20][0] ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -8.402     ; 2.827      ;
509
; -10.716 ; lcdvram[18][1] ; next_char_sig[1] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.949     ; 3.275      ;
510
; -10.714 ; lcdvram[16][2] ; next_char_sig[2] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -8.678     ; 2.544      ;
511
; -10.710 ; lcdvram[23][0] ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.774     ; 3.444      ;
512
; -10.691 ; lcdvram[17][5] ; next_char_sig[5] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -8.406     ; 2.793      ;
513
; -10.686 ; lcdvram[28][7] ; next_char_sig[7] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -8.147     ; 3.047      ;
514
; -10.681 ; lcdvram[18][2] ; next_char_sig[2] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -8.198     ; 2.991      ;
515
; -10.670 ; lcdvram[20][5] ; next_char_sig[5] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -8.315     ; 2.863      ;
516
; -10.645 ; lcdvram[1][6]  ; next_char_sig[6] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -6.905     ; 4.248      ;
517
; -10.641 ; lcdvram[20][7] ; next_char_sig[7] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -8.267     ; 2.882      ;
518
; -10.625 ; lcdvram[25][0] ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -8.317     ; 2.816      ;
519
; -10.623 ; lcdvram[6][6]  ; next_char_sig[6] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.073     ; 4.058      ;
520
; -10.609 ; lcdvram[22][1] ; next_char_sig[1] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.560     ; 3.557      ;
521
; -10.605 ; lcdvram[16][1] ; next_char_sig[1] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -8.744     ; 2.369      ;
522
; -10.581 ; lcdvram[27][7] ; next_char_sig[7] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -8.543     ; 2.546      ;
523
; -10.549 ; lcdvram[23][6] ; next_char_sig[6] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.689     ; 3.368      ;
524
; -10.527 ; lcdvram[24][7] ; next_char_sig[7] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -8.414     ; 2.621      ;
525
; -10.517 ; lcdvram[6][2]  ; next_char_sig[2] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.220     ; 3.805      ;
526
; -10.482 ; lcdvram[28][6] ; next_char_sig[6] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -8.028     ; 2.962      ;
527
; -10.474 ; lcdvram[18][5] ; next_char_sig[5] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -8.112     ; 2.870      ;
528
; -10.459 ; lcdvram[6][4]  ; next_char_sig[4] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.513     ; 3.454      ;
529
; -10.455 ; lcdvram[27][5] ; next_char_sig[5] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -8.561     ; 2.402      ;
530
; -10.454 ; lcdvram[17][3] ; next_char_sig[3] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -8.460     ; 2.502      ;
531
; -10.453 ; lcdvram[4][6]  ; next_char_sig[6] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.015     ; 3.946      ;
532
; -10.445 ; lcdvram[17][6] ; next_char_sig[6] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -8.402     ; 2.551      ;
533
; -10.441 ; lcdvram[0][0]  ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.199     ; 3.750      ;
534
; -10.438 ; lcdvram[31][1] ; next_char_sig[1] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -8.630     ; 2.316      ;
535
; -10.438 ; lcdvram[16][6] ; next_char_sig[6] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -8.586     ; 2.360      ;
536
; -10.426 ; lcdvram[18][0] ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -8.197     ; 2.737      ;
537
; -10.414 ; lcdvram[24][6] ; next_char_sig[6] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -8.469     ; 2.453      ;
538
; -10.412 ; lcdvram[30][2] ; next_char_sig[2] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.870     ; 3.050      ;
539
; -10.397 ; lcdvram[19][2] ; next_char_sig[2] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -8.619     ; 2.286      ;
540
; -10.394 ; lcdvram[1][4]  ; next_char_sig[4] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -6.701     ; 4.201      ;
541
; -10.386 ; lcdvram[16][7] ; next_char_sig[7] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -8.693     ; 2.201      ;
542
; -10.368 ; lcdvram[18][3] ; next_char_sig[3] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -8.119     ; 2.757      ;
543
; -10.350 ; lcdvram[20][6] ; next_char_sig[6] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -8.339     ; 2.519      ;
544
; -10.338 ; lcdvram[20][1] ; next_char_sig[1] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -8.138     ; 2.708      ;
545
; -10.331 ; lcdvram[2][7]  ; next_char_sig[7] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -6.672     ; 4.167      ;
546
; -10.317 ; lcdvram[21][2] ; next_char_sig[2] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -8.057     ; 2.768      ;
547
; -10.298 ; lcdvram[24][5] ; next_char_sig[5] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -8.278     ; 2.528      ;
548
; -10.283 ; lcdvram[31][7] ; next_char_sig[7] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -8.730     ; 2.061      ;
549
; -10.276 ; lcdvram[28][2] ; next_char_sig[2] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -8.281     ; 2.503      ;
550
; -10.259 ; lcdvram[25][1] ; next_char_sig[1] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -8.254     ; 2.513      ;
551
; -10.255 ; lcdvram[29][1] ; next_char_sig[1] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.453     ; 3.310      ;
552
; -10.229 ; lcdvram[21][7] ; next_char_sig[7] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -8.089     ; 2.648      ;
553
; -10.228 ; lcdvram[25][7] ; next_char_sig[7] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -8.333     ; 2.403      ;
554
; -10.208 ; lcdvram[21][0] ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -8.232     ; 2.484      ;
555
; -10.205 ; lcdvram[26][7] ; next_char_sig[7] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.763     ; 2.950      ;
556
; -10.200 ; lcdvram[22][6] ; next_char_sig[6] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.673     ; 3.035      ;
557
; -10.191 ; lcdvram[17][4] ; next_char_sig[4] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -8.142     ; 2.557      ;
558
; -10.190 ; lcdvram[0][1]  ; next_char_sig[1] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -6.832     ; 3.866      ;
559
; -10.189 ; lcdvram[0][6]  ; next_char_sig[6] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -6.574     ; 4.123      ;
560
; -10.188 ; lcdvram[7][2]  ; next_char_sig[2] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -6.964     ; 3.732      ;
561
; -10.185 ; lcdvram[4][2]  ; next_char_sig[2] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.115     ; 3.578      ;
562
; -10.184 ; lcdvram[27][2] ; next_char_sig[2] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -8.497     ; 2.195      ;
563
; -10.181 ; lcdvram[8][7]  ; next_char_sig[7] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -6.803     ; 3.886      ;
564
; -10.173 ; lcdvram[30][1] ; next_char_sig[1] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.745     ; 2.936      ;
565
; -10.167 ; lcdvram[25][3] ; next_char_sig[3] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -8.503     ; 2.172      ;
566
; -10.162 ; lcdvram[24][4] ; next_char_sig[4] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -8.224     ; 2.446      ;
567
; -10.148 ; lcdvram[31][6] ; next_char_sig[6] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -8.609     ; 2.047      ;
568
; -10.142 ; lcdvram[26][0] ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.929     ; 2.721      ;
569
; -10.130 ; lcdvram[25][6] ; next_char_sig[6] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -8.218     ; 2.420      ;
570
; -10.122 ; lcdvram[27][6] ; next_char_sig[6] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -8.423     ; 2.207      ;
571
; -10.087 ; lcdvram[24][2] ; next_char_sig[2] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -8.382     ; 2.213      ;
572
; -10.082 ; lcdvram[20][2] ; next_char_sig[2] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -8.242     ; 2.348      ;
573
; -10.050 ; lcdvram[11][2] ; next_char_sig[2] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.005     ; 3.553      ;
574
; -10.034 ; lcdvram[11][3] ; next_char_sig[3] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -6.680     ; 3.862      ;
575
; -10.030 ; lcdvram[23][7] ; next_char_sig[7] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.788     ; 2.750      ;
576
; -10.029 ; lcdvram[25][2] ; next_char_sig[2] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -8.494     ; 2.043      ;
577
+---------+----------------+------------------+-----------------------+-------------------------------+--------------+------------+------------+
578
 
579
 
580
+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
581
; Slow 1200mV 85C Model Setup: 'CLOCK_50'                                                                                                                                                                            ;
582
+--------+----------------------------------------------------+---------------------------------+-------------------------------------------------------------+-------------+--------------+------------+------------+
583
; Slack  ; From Node                                          ; To Node                         ; Launch Clock                                                ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
584
+--------+----------------------------------------------------+---------------------------------+-------------------------------------------------------------+-------------+--------------+------------+------------+
585
; -7.823 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2_ascii_reg1[2]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.495     ; 7.316      ;
586
; -7.754 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2_ascii_reg1[2]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.495     ; 7.247      ;
587
; -7.736 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2_ascii_reg1[1]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.506     ; 7.218      ;
588
; -7.736 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2_ascii_reg1[6]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.506     ; 7.218      ;
589
; -7.736 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2_ascii_reg1[5]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.506     ; 7.218      ;
590
; -7.667 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2_ascii_reg1[1]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.506     ; 7.149      ;
591
; -7.667 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2_ascii_reg1[6]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.506     ; 7.149      ;
592
; -7.667 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2_ascii_reg1[5]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.506     ; 7.149      ;
593
; -7.644 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2_ascii_reg1[7]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.507     ; 7.125      ;
594
; -7.644 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2_ascii_reg1[3]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.507     ; 7.125      ;
595
; -7.644 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2_ascii_reg1[0]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.507     ; 7.125      ;
596
; -7.644 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2_ascii_reg1[4]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.507     ; 7.125      ;
597
; -7.575 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2_ascii_reg1[7]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.507     ; 7.056      ;
598
; -7.575 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2_ascii_reg1[3]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.507     ; 7.056      ;
599
; -7.575 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2_ascii_reg1[0]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.507     ; 7.056      ;
600
; -7.575 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2_ascii_reg1[4]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.507     ; 7.056      ;
601
; -7.296 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2_ascii_reg1[2]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.496     ; 6.788      ;
602
; -7.268 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2_ascii_reg1[2]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.495     ; 6.761      ;
603
; -7.260 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2_ascii_reg1[2]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.495     ; 6.753      ;
604
; -7.243 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2_ascii_reg1[2]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.495     ; 6.736      ;
605
; -7.209 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2_ascii_reg1[1]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.507     ; 6.690      ;
606
; -7.209 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2_ascii_reg1[6]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.507     ; 6.690      ;
607
; -7.209 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2_ascii_reg1[5]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.507     ; 6.690      ;
608
; -7.183 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2_ascii_reg1[2]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.495     ; 6.676      ;
609
; -7.173 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2_ascii_reg1[1]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.506     ; 6.655      ;
610
; -7.173 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2_ascii_reg1[6]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.506     ; 6.655      ;
611
; -7.173 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2_ascii_reg1[5]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.506     ; 6.655      ;
612
; -7.158 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2_ascii_reg1[1]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.506     ; 6.640      ;
613
; -7.158 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2_ascii_reg1[6]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.506     ; 6.640      ;
614
; -7.158 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2_ascii_reg1[5]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.506     ; 6.640      ;
615
; -7.156 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2_ascii_reg1[1]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.506     ; 6.638      ;
616
; -7.156 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2_ascii_reg1[6]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.506     ; 6.638      ;
617
; -7.156 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2_ascii_reg1[5]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.506     ; 6.638      ;
618
; -7.117 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2_ascii_reg1[7]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.508     ; 6.597      ;
619
; -7.117 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2_ascii_reg1[3]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.508     ; 6.597      ;
620
; -7.117 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2_ascii_reg1[0]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.508     ; 6.597      ;
621
; -7.117 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2_ascii_reg1[4]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.508     ; 6.597      ;
622
; -7.096 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2_ascii_reg1[1]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.506     ; 6.578      ;
623
; -7.096 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2_ascii_reg1[6]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.506     ; 6.578      ;
624
; -7.096 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2_ascii_reg1[5]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.506     ; 6.578      ;
625
; -7.081 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2_ascii_reg1[7]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.507     ; 6.562      ;
626
; -7.081 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2_ascii_reg1[3]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.507     ; 6.562      ;
627
; -7.081 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2_ascii_reg1[0]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.507     ; 6.562      ;
628
; -7.081 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2_ascii_reg1[4]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.507     ; 6.562      ;
629
; -7.064 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2_ascii_reg1[7]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.507     ; 6.545      ;
630
; -7.064 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2_ascii_reg1[3]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.507     ; 6.545      ;
631
; -7.064 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2_ascii_reg1[0]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.507     ; 6.545      ;
632
; -7.064 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2_ascii_reg1[4]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.507     ; 6.545      ;
633
; -7.058 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2_ascii_reg1[7]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.507     ; 6.539      ;
634
; -7.058 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2_ascii_reg1[3]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.507     ; 6.539      ;
635
; -7.058 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2_ascii_reg1[0]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.507     ; 6.539      ;
636
; -7.058 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2_ascii_reg1[4]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.507     ; 6.539      ;
637
; -7.004 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2_ascii_reg1[7]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.507     ; 6.485      ;
638
; -7.004 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2_ascii_reg1[3]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.507     ; 6.485      ;
639
; -7.004 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2_ascii_reg1[0]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.507     ; 6.485      ;
640
; -7.004 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2_ascii_reg1[4]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.507     ; 6.485      ;
641
; -6.481 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2_read                        ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.507     ; 5.962      ;
642
; -6.363 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2_read                        ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.507     ; 5.844      ;
643
; -5.987 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2_read                        ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.507     ; 5.468      ;
644
; -5.836 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2_read                        ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.508     ; 5.316      ;
645
; -5.828 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2_read                        ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.507     ; 5.309      ;
646
; -5.783 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2_read                        ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.507     ; 5.264      ;
647
; -5.694 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2_read                        ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.507     ; 5.175      ;
648
; -5.282 ; LCDON_reg                                          ; LCD:lcd_inst|LCD_ON             ; SW[16]                                                      ; CLOCK_50    ; 1.000        ; -4.988     ; 1.272      ;
649
; -5.023 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2_ascii_reg1[2]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.497     ; 4.514      ;
650
; -4.936 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2_ascii_reg1[1]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.508     ; 4.416      ;
651
; -4.936 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2_ascii_reg1[6]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.508     ; 4.416      ;
652
; -4.936 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2_ascii_reg1[5]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.508     ; 4.416      ;
653
; -4.844 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2_ascii_reg1[7]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.509     ; 4.323      ;
654
; -4.844 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2_ascii_reg1[3]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.509     ; 4.323      ;
655
; -4.844 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2_ascii_reg1[0]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.509     ; 4.323      ;
656
; -4.844 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2_ascii_reg1[4]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.509     ; 4.323      ;
657
; -3.689 ; ps2kbd:ps2_kbd_inst|caps[0]                        ; ps2_ascii_reg1[2]               ; clk_div:clkdiv_inst|clock_100Hz                             ; CLOCK_50    ; 1.000        ; 1.594      ; 6.281      ;
658
; -3.602 ; ps2kbd:ps2_kbd_inst|caps[0]                        ; ps2_ascii_reg1[1]               ; clk_div:clkdiv_inst|clock_100Hz                             ; CLOCK_50    ; 1.000        ; 1.583      ; 6.183      ;
659
; -3.602 ; ps2kbd:ps2_kbd_inst|caps[0]                        ; ps2_ascii_reg1[6]               ; clk_div:clkdiv_inst|clock_100Hz                             ; CLOCK_50    ; 1.000        ; 1.583      ; 6.183      ;
660
; -3.602 ; ps2kbd:ps2_kbd_inst|caps[0]                        ; ps2_ascii_reg1[5]               ; clk_div:clkdiv_inst|clock_100Hz                             ; CLOCK_50    ; 1.000        ; 1.583      ; 6.183      ;
661
; -3.510 ; ps2kbd:ps2_kbd_inst|caps[0]                        ; ps2_ascii_reg1[7]               ; clk_div:clkdiv_inst|clock_100Hz                             ; CLOCK_50    ; 1.000        ; 1.582      ; 6.090      ;
662
; -3.510 ; ps2kbd:ps2_kbd_inst|caps[0]                        ; ps2_ascii_reg1[3]               ; clk_div:clkdiv_inst|clock_100Hz                             ; CLOCK_50    ; 1.000        ; 1.582      ; 6.090      ;
663
; -3.510 ; ps2kbd:ps2_kbd_inst|caps[0]                        ; ps2_ascii_reg1[0]               ; clk_div:clkdiv_inst|clock_100Hz                             ; CLOCK_50    ; 1.000        ; 1.582      ; 6.090      ;
664
; -3.510 ; ps2kbd:ps2_kbd_inst|caps[0]                        ; ps2_ascii_reg1[4]               ; clk_div:clkdiv_inst|clock_100Hz                             ; CLOCK_50    ; 1.000        ; 1.582      ; 6.090      ;
665
; -3.459 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2_read                        ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.509     ; 2.938      ;
666
; -3.263 ; LCD:lcd_inst|clk_count_400hz[7]                    ; LCD:lcd_inst|clk_count_400hz[0] ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; -0.081     ; 4.180      ;
667
; -3.263 ; LCD:lcd_inst|clk_count_400hz[7]                    ; LCD:lcd_inst|clk_count_400hz[1] ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; -0.081     ; 4.180      ;
668
; -3.263 ; LCD:lcd_inst|clk_count_400hz[7]                    ; LCD:lcd_inst|clk_count_400hz[2] ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; -0.081     ; 4.180      ;
669
; -3.263 ; LCD:lcd_inst|clk_count_400hz[7]                    ; LCD:lcd_inst|clk_count_400hz[3] ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; -0.081     ; 4.180      ;
670
; -3.263 ; LCD:lcd_inst|clk_count_400hz[7]                    ; LCD:lcd_inst|clk_count_400hz[4] ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; -0.081     ; 4.180      ;
671
; -3.263 ; LCD:lcd_inst|clk_count_400hz[7]                    ; LCD:lcd_inst|clk_count_400hz[5] ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; -0.081     ; 4.180      ;
672
; -3.263 ; LCD:lcd_inst|clk_count_400hz[7]                    ; LCD:lcd_inst|clk_count_400hz[6] ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; -0.081     ; 4.180      ;
673
; -3.263 ; LCD:lcd_inst|clk_count_400hz[7]                    ; LCD:lcd_inst|clk_count_400hz[7] ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; -0.081     ; 4.180      ;
674
; -3.263 ; LCD:lcd_inst|clk_count_400hz[7]                    ; LCD:lcd_inst|clk_count_400hz[8] ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; -0.081     ; 4.180      ;
675
; -3.263 ; LCD:lcd_inst|clk_count_400hz[7]                    ; LCD:lcd_inst|clk_count_400hz[9] ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; -0.081     ; 4.180      ;
676
; -3.255 ; LCD:lcd_inst|clk_count_400hz[8]                    ; LCD:lcd_inst|clk_count_400hz[0] ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; -0.081     ; 4.172      ;
677
; -3.255 ; LCD:lcd_inst|clk_count_400hz[8]                    ; LCD:lcd_inst|clk_count_400hz[1] ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; -0.081     ; 4.172      ;
678
; -3.255 ; LCD:lcd_inst|clk_count_400hz[8]                    ; LCD:lcd_inst|clk_count_400hz[2] ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; -0.081     ; 4.172      ;
679
; -3.255 ; LCD:lcd_inst|clk_count_400hz[8]                    ; LCD:lcd_inst|clk_count_400hz[3] ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; -0.081     ; 4.172      ;
680
; -3.255 ; LCD:lcd_inst|clk_count_400hz[8]                    ; LCD:lcd_inst|clk_count_400hz[4] ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; -0.081     ; 4.172      ;
681
; -3.255 ; LCD:lcd_inst|clk_count_400hz[8]                    ; LCD:lcd_inst|clk_count_400hz[5] ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; -0.081     ; 4.172      ;
682
; -3.255 ; LCD:lcd_inst|clk_count_400hz[8]                    ; LCD:lcd_inst|clk_count_400hz[6] ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; -0.081     ; 4.172      ;
683
; -3.255 ; LCD:lcd_inst|clk_count_400hz[8]                    ; LCD:lcd_inst|clk_count_400hz[7] ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; -0.081     ; 4.172      ;
684
; -3.255 ; LCD:lcd_inst|clk_count_400hz[8]                    ; LCD:lcd_inst|clk_count_400hz[8] ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; -0.081     ; 4.172      ;
685
+--------+----------------------------------------------------+---------------------------------+-------------------------------------------------------------+-------------+--------------+------------+------------+
686
 
687
 
688
+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
689
; Slow 1200mV 85C Model Setup: 'clk_div:clkdiv_inst|clock_25MHz'                                                                                                                                                                                                                                                                                      ;
690
+--------+---------------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------------+---------------------------------+---------------------------------+--------------+------------+------------+
691
; Slack  ; From Node                                                                                                     ; To Node                                                                                                       ; Launch Clock                    ; Latch Clock                     ; Relationship ; Clock Skew ; Data Delay ;
692
+--------+---------------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------------+---------------------------------+---------------------------------+--------------+------------+------------+
693
; -5.695 ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a0~portb_address_reg0   ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[0]                                                           ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.373     ; 6.320      ;
694
; -5.694 ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a0~portb_address_reg0   ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[3]                                                           ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.373     ; 6.319      ;
695
; -5.692 ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a0~portb_address_reg0   ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[1]                                                           ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.373     ; 6.317      ;
696
; -5.496 ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a4~portb_address_reg0   ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[0]                                                           ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.359     ; 6.135      ;
697
; -5.495 ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a4~portb_address_reg0   ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[3]                                                           ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.359     ; 6.134      ;
698
; -5.494 ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a4~portb_address_reg0   ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[1]                                                           ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.359     ; 6.133      ;
699
; -5.318 ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a0~portb_address_reg0   ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[2]                                                           ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.373     ; 5.943      ;
700
; -5.119 ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a4~portb_address_reg0   ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[2]                                                           ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.359     ; 5.758      ;
701
; -4.574 ; T80se:z80_inst|T80:u0|A[4]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a8~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.200     ; 3.402      ;
702
; -4.514 ; T80se:z80_inst|T80:u0|A[2]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a8~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.200     ; 3.342      ;
703
; -4.478 ; T80se:z80_inst|T80:u0|A[4]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a0~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.237     ; 3.269      ;
704
; -4.469 ; T80se:z80_inst|T80:u0|A[10]                                                                                   ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a4~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.027     ; 3.470      ;
705
; -4.464 ; T80se:z80_inst|T80:u0|A[10]                                                                                   ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a8~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.002     ; 3.490      ;
706
; -4.441 ; T80se:z80_inst|T80:u0|A[10]                                                                                   ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a5~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.017     ; 3.452      ;
707
; -4.289 ; T80se:z80_inst|T80:u0|A[13]                                                                                   ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a8~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -1.673     ; 3.644      ;
708
; -4.280 ; T80se:z80_inst|T80:u0|A[8]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a8~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -1.727     ; 3.581      ;
709
; -4.278 ; T80se:z80_inst|T80:u0|A[3]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a8~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.200     ; 3.106      ;
710
; -4.195 ; T80se:z80_inst|T80:u0|A[8]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a0~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -1.764     ; 3.459      ;
711
; -4.165 ; T80se:z80_inst|T80:u0|A[4]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a11~porta_address_reg0  ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.232     ; 2.961      ;
712
; -4.148 ; T80se:z80_inst|T80:u0|A[10]                                                                                   ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a11~porta_address_reg0  ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.034     ; 3.142      ;
713
; -4.126 ; T80se:z80_inst|T80:u0|A[4]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a14~porta_address_reg0  ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.234     ; 2.920      ;
714
; -4.126 ; T80se:z80_inst|T80:u0|A[2]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a5~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.215     ; 2.939      ;
715
; -4.121 ; T80se:z80_inst|T80:u0|A[4]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a4~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.225     ; 2.924      ;
716
; -4.119 ; T80se:z80_inst|T80:u0|A[10]                                                                                   ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a14~porta_address_reg0  ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.036     ; 3.111      ;
717
; -4.115 ; T80se:z80_inst|T80:u0|A[4]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a5~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.215     ; 2.928      ;
718
; -4.091 ; T80se:z80_inst|T80:u0|A[10]                                                                                   ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a7~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.012     ; 3.107      ;
719
; -4.069 ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a7~portb_address_reg0 ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a4~portb_address_reg0   ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.058     ; 5.049      ;
720
; -4.066 ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a7~portb_address_reg0 ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a0~portb_address_reg0   ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.046     ; 5.058      ;
721
; -4.064 ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a0~portb_address_reg0 ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a0~portb_address_reg0   ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.047     ; 5.055      ;
722
; -4.057 ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a5~portb_address_reg0 ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a4~portb_address_reg0   ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.057     ; 5.038      ;
723
; -4.046 ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a5~portb_address_reg0 ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a0~portb_address_reg0   ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.045     ; 5.039      ;
724
; -4.033 ; T80se:z80_inst|T80:u0|A[13]                                                                                   ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a7~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -1.683     ; 3.378      ;
725
; -4.003 ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a0~portb_address_reg0 ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a4~portb_address_reg0   ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.059     ; 4.982      ;
726
; -3.960 ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a2~portb_address_reg0 ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a0~portb_address_reg0   ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.047     ; 4.951      ;
727
; -3.945 ; T80se:z80_inst|T80:u0|A[5]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a8~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.200     ; 2.773      ;
728
; -3.918 ; T80se:z80_inst|T80:u0|A[8]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a11~porta_address_reg0  ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -1.759     ; 3.187      ;
729
; -3.913 ; T80se:z80_inst|T80:u0|A[8]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a14~porta_address_reg0  ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -1.761     ; 3.180      ;
730
; -3.903 ; T80se:z80_inst|T80:u0|A[13]                                                                                   ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a5~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -1.688     ; 3.243      ;
731
; -3.891 ; T80se:z80_inst|T80:u0|A[13]                                                                                   ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a4~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -1.698     ; 3.221      ;
732
; -3.886 ; T80se:z80_inst|T80:u0|A[8]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a5~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -1.742     ; 3.172      ;
733
; -3.877 ; T80se:z80_inst|T80:u0|A[3]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a0~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.237     ; 2.668      ;
734
; -3.862 ; T80se:z80_inst|T80:u0|A[8]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a4~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -1.752     ; 3.138      ;
735
; -3.854 ; T80se:z80_inst|T80:u0|A[5]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a4~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.225     ; 2.657      ;
736
; -3.835 ; T80se:z80_inst|T80:u0|A[4]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a7~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.210     ; 2.653      ;
737
; -3.835 ; T80se:z80_inst|T80:u0|A[5]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a5~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.215     ; 2.648      ;
738
; -3.828 ; T80se:z80_inst|T80:u0|A[3]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a14~porta_address_reg0  ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.234     ; 2.622      ;
739
; -3.827 ; T80se:z80_inst|T80:u0|A[2]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a4~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.225     ; 2.630      ;
740
; -3.792 ; T80se:z80_inst|T80:u0|A[3]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a7~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.210     ; 2.610      ;
741
; -3.786 ; T80se:z80_inst|T80:u0|A[2]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a11~porta_address_reg0  ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.232     ; 2.582      ;
742
; -3.781 ; T80se:z80_inst|T80:u0|A[10]                                                                                   ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a0~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.039     ; 2.770      ;
743
; -3.778 ; T80se:z80_inst|T80:u0|A[2]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a7~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.210     ; 2.596      ;
744
; -3.776 ; T80se:z80_inst|T80:u0|A[2]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a14~porta_address_reg0  ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.234     ; 2.570      ;
745
; -3.709 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[8]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a5~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.187      ; 4.934      ;
746
; -3.698 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[8]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a0~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.189      ; 4.925      ;
747
; -3.698 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[6]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a5~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.187      ; 4.923      ;
748
; -3.694 ; T80se:z80_inst|T80:u0|A[4]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a6~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.201     ; 2.521      ;
749
; -3.693 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[4]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a5~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.187      ; 4.918      ;
750
; -3.689 ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a2~portb_address_reg0 ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a4~portb_address_reg0   ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.059     ; 4.668      ;
751
; -3.687 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[6]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a0~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.189      ; 4.914      ;
752
; -3.682 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[4]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a0~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.189      ; 4.909      ;
753
; -3.662 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[8]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a7~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.188      ; 4.888      ;
754
; -3.661 ; T80se:z80_inst|T80:u0|A[10]                                                                                   ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a10~porta_address_reg0  ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.027     ; 2.662      ;
755
; -3.651 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[6]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a7~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.188      ; 4.877      ;
756
; -3.646 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[4]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a7~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.188      ; 4.872      ;
757
; -3.642 ; T80se:z80_inst|T80:u0|A[9]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a4~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -1.752     ; 2.918      ;
758
; -3.640 ; T80se:z80_inst|T80:u0|A[4]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a12~porta_address_reg0  ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.203     ; 2.465      ;
759
; -3.632 ; T80se:z80_inst|T80:u0|A[4]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a9~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.202     ; 2.458      ;
760
; -3.632 ; T80se:z80_inst|T80:u0|A[9]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a8~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -1.727     ; 2.933      ;
761
; -3.625 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[8]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a2~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.189      ; 4.852      ;
762
; -3.615 ; T80se:z80_inst|T80:u0|A[10]                                                                                   ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a15~porta_address_reg0  ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.020     ; 2.623      ;
763
; -3.614 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[6]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a2~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.189      ; 4.841      ;
764
; -3.609 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[4]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a2~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.189      ; 4.836      ;
765
; -3.605 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[3]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a5~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.187      ; 4.830      ;
766
; -3.601 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[5]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a5~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.187      ; 4.826      ;
767
; -3.600 ; T80se:z80_inst|T80:u0|A[3]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a2~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.234     ; 2.394      ;
768
; -3.597 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[3]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a7~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.188      ; 4.823      ;
769
; -3.594 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[3]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a0~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.189      ; 4.821      ;
770
; -3.590 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[5]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a0~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.189      ; 4.817      ;
771
; -3.582 ; T80se:z80_inst|T80:u0|A[13]                                                                                   ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a2~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -1.707     ; 2.903      ;
772
; -3.580 ; T80se:z80_inst|T80:u0|A[3]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a11~porta_address_reg0  ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.232     ; 2.376      ;
773
; -3.578 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[5]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a7~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.188      ; 4.804      ;
774
; -3.575 ; T80se:z80_inst|T80:u0|A[3]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a4~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.225     ; 2.378      ;
775
; -3.574 ; T80se:z80_inst|T80:u0|A[7]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a7~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -1.730     ; 2.872      ;
776
; -3.566 ; T80se:z80_inst|T80:u0|A[3]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a5~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.215     ; 2.379      ;
777
; -3.555 ; T80se:z80_inst|T80:u0|A[5]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a7~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.210     ; 2.373      ;
778
; -3.554 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[3]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a2~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.189      ; 4.781      ;
779
; -3.552 ; T80se:z80_inst|T80:u0|A[13]                                                                                   ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a3~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -1.702     ; 2.878      ;
780
; -3.549 ; T80se:z80_inst|T80:u0|A[4]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a15~porta_address_reg0  ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.218     ; 2.359      ;
781
; -3.540 ; T80se:z80_inst|T80:u0|A[13]                                                                                   ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a0~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -1.710     ; 2.858      ;
782
; -3.536 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[3]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a1~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.201      ; 4.775      ;
783
; -3.535 ; T80se:z80_inst|T80:u0|A[4]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a3~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.229     ; 2.334      ;
784
; -3.535 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[5]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a2~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.189      ; 4.762      ;
785
; -3.525 ; T80se:z80_inst|T80:u0|A[4]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a1~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.222     ; 2.331      ;
786
; -3.520 ; T80se:z80_inst|T80:u0|A[4]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a10~porta_address_reg0  ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.225     ; 2.323      ;
787
; -3.519 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[8]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a1~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.201      ; 4.758      ;
788
; -3.517 ; T80se:z80_inst|T80:u0|A[13]                                                                                   ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a10~porta_address_reg0  ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -1.698     ; 2.847      ;
789
; -3.517 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[5]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a1~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.201      ; 4.756      ;
790
; -3.511 ; T80se:z80_inst|T80:u0|A[12]                                                                                   ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a8~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -1.673     ; 2.866      ;
791
; -3.510 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[6]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a1~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.201      ; 4.749      ;
792
; -3.508 ; T80se:z80_inst|T80:u0|A[2]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a0~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.237     ; 2.299      ;
793
+--------+---------------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------------+---------------------------------+---------------------------------+--------------+------------+------------+
794
 
795
 
796
+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
797
; Slow 1200mV 85C Model Setup: 'clk_div:clkdiv_inst|clock_100Hz'                                                                                                                                                                     ;
798
+--------+----------------------------------------------------+-----------------------------+-------------------------------------------------------------+---------------------------------+--------------+------------+------------+
799
; Slack  ; From Node                                          ; To Node                     ; Launch Clock                                                ; Latch Clock                     ; Relationship ; Clock Skew ; Data Delay ;
800
+--------+----------------------------------------------------+-----------------------------+-------------------------------------------------------------+---------------------------------+--------------+------------+------------+
801
; -5.245 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -3.162     ; 3.091      ;
802
; -5.245 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -3.162     ; 3.091      ;
803
; -4.788 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -3.162     ; 2.634      ;
804
; -4.788 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -3.162     ; 2.634      ;
805
; -4.785 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -3.162     ; 2.631      ;
806
; -4.785 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -3.162     ; 2.631      ;
807
; -4.753 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -3.163     ; 2.598      ;
808
; -4.753 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -3.163     ; 2.598      ;
809
; -4.718 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -3.162     ; 2.564      ;
810
; -4.718 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -3.162     ; 2.564      ;
811
; -4.708 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -3.162     ; 2.554      ;
812
; -4.708 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -3.162     ; 2.554      ;
813
; -4.644 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -3.164     ; 2.488      ;
814
; -4.644 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -3.164     ; 2.488      ;
815
; -4.601 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -3.162     ; 2.447      ;
816
; -4.601 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -3.162     ; 2.447      ;
817
; -0.086 ; ps2kbd:ps2_kbd_inst|caps[0]                        ; ps2kbd:ps2_kbd_inst|caps[1] ; clk_div:clkdiv_inst|clock_100Hz                             ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -0.046     ; 1.058      ;
818
; 0.176  ; ps2kbd:ps2_kbd_inst|caps[1]                        ; ps2kbd:ps2_kbd_inst|caps[0] ; clk_div:clkdiv_inst|clock_100Hz                             ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -0.046     ; 0.796      ;
819
+--------+----------------------------------------------------+-----------------------------+-------------------------------------------------------------+---------------------------------+--------------+------------+------------+
820
 
821
 
822
+---------------------------------------------------------------------------------------------------------------------------------------+
823
; Slow 1200mV 85C Model Setup: 'T80se:z80_inst|MREQ_n'                                                                                  ;
824
+--------+-----------------------------+----------------+--------------+-----------------------+--------------+------------+------------+
825
; Slack  ; From Node                   ; To Node        ; Launch Clock ; Latch Clock           ; Relationship ; Clock Skew ; Data Delay ;
826
+--------+-----------------------------+----------------+--------------+-----------------------+--------------+------------+------------+
827
; -2.601 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[10][7] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.326      ; 2.591      ;
828
; -2.588 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[8][5]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -0.065     ; 2.173      ;
829
; -2.565 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[5][7]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.007      ; 2.170      ;
830
; -2.560 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[29][0] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.842      ; 3.032      ;
831
; -2.507 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[11][7] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -0.152     ; 1.858      ;
832
; -2.491 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[13][7] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.298      ; 1.704      ;
833
; -2.471 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[29][1] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.820      ; 2.891      ;
834
; -2.417 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[29][3] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.827      ; 2.843      ;
835
; -2.415 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[2][2]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.083      ; 2.141      ;
836
; -2.351 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[5][5]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.271      ; 2.131      ;
837
; -2.330 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[29][6] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.839      ; 2.768      ;
838
; -2.326 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[0][0]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.514      ; 2.303      ;
839
; -2.326 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[26][4] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.033      ; 2.955      ;
840
; -2.280 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[3][4]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -0.683     ; 0.908      ;
841
; -2.276 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[8][3]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.090      ; 1.971      ;
842
; -2.237 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[13][2] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.093      ; 2.110      ;
843
; -2.213 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[29][7] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.997      ; 2.990      ;
844
; -2.198 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[5][2]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.132      ; 2.109      ;
845
; -2.190 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[5][1]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.239      ; 1.746      ;
846
; -2.176 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[0][6]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.007      ; 1.957      ;
847
; -2.174 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[8][1]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -0.211     ; 1.433      ;
848
; -2.164 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[11][5] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.011      ; 1.493      ;
849
; -2.153 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[10][2] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.389      ; 2.192      ;
850
; -2.153 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[30][1] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.143      ; 2.889      ;
851
; -2.152 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[30][4] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.199      ; 2.954      ;
852
; -2.142 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[5][3]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.009      ; 1.780      ;
853
; -2.141 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[21][1] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.348      ; 3.092      ;
854
; -2.114 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[30][0] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.184      ; 2.897      ;
855
; -2.107 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[11][1] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -0.001     ; 1.418      ;
856
; -2.102 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[24][1] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.628      ; 3.335      ;
857
; -2.090 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[3][0]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -0.685     ; 0.716      ;
858
; -2.080 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[11][0] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.215      ; 2.073      ;
859
; -2.077 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[11][3] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.009      ; 1.439      ;
860
; -2.067 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[3][7]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.343      ; 2.188      ;
861
; -2.051 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[25][5] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.612      ; 3.262      ;
862
; -2.039 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[21][2] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.333      ; 2.975      ;
863
; -2.010 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[8][2]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.331      ; 1.849      ;
864
; -2.006 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[15][5] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.332      ; 1.937      ;
865
; -2.003 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[8][4]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -0.097     ; 1.430      ;
866
; -1.998 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[29][5] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.995      ; 2.771      ;
867
; -1.991 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[25][7] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.607      ; 3.197      ;
868
; -1.991 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[24][3] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.647      ; 3.243      ;
869
; -1.984 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[8][7]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.139      ; 1.895      ;
870
; -1.977 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[25][6] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.605      ; 3.174      ;
871
; -1.974 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[18][7] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.313      ; 2.885      ;
872
; -1.973 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[20][3] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.722      ; 2.785      ;
873
; -1.968 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[8][0]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.320      ; 2.073      ;
874
; -1.962 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[29][2] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.853      ; 2.589      ;
875
; -1.955 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[3][5]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.068      ; 1.492      ;
876
; -1.945 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[7][7]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.487      ; 2.212      ;
877
; -1.942 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[10][5] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.086      ; 1.491      ;
878
; -1.937 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[10][3] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -0.342     ; 0.906      ;
879
; -1.933 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[22][1] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.965      ; 2.671      ;
880
; -1.932 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[0][5]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.914      ; 2.510      ;
881
; -1.931 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[3][2]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -0.575     ; 0.981      ;
882
; -1.929 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[25][2] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.775      ; 3.167      ;
883
; -1.927 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[9][7]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.290      ; 1.858      ;
884
; -1.927 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[24][2] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.643      ; 3.350      ;
885
; -1.914 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[20][1] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.520      ; 3.027      ;
886
; -1.906 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[16][2] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.929      ; 3.436      ;
887
; -1.905 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[14][3] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.529      ; 1.762      ;
888
; -1.903 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[25][4] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.581      ; 3.085      ;
889
; -1.903 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[3][3]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.066      ; 1.439      ;
890
; -1.901 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[13][6] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.094      ; 1.457      ;
891
; -1.898 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[10][1] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -0.296     ; 1.266      ;
892
; -1.889 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[11][2] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.294      ; 1.825      ;
893
; -1.883 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[6][7]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.540      ; 2.208      ;
894
; -1.882 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[5][6]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.073      ; 1.727      ;
895
; -1.867 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[20][4] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.514      ; 2.974      ;
896
; -1.866 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[3][6]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -0.575     ; 0.884      ;
897
; -1.859 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[10][4] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -0.609     ; 1.023      ;
898
; -1.858 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[13][1] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.330      ; 1.968      ;
899
; -1.857 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[26][6] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.030      ; 2.488      ;
900
; -1.854 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[25][1] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.630      ; 3.091      ;
901
; -1.852 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[2][6]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.053      ; 1.570      ;
902
; -1.851 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[26][7] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.020      ; 2.645      ;
903
; -1.848 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[24][4] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.789      ; 3.416      ;
904
; -1.840 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[16][4] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.910      ; 3.530      ;
905
; -1.833 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[5][0]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.074      ; 1.506      ;
906
; -1.832 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[18][4] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.497      ; 3.117      ;
907
; -1.829 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[15][4] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.707      ; 2.184      ;
908
; -1.829 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[22][3] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.108      ; 2.405      ;
909
; -1.824 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[21][7] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.351      ; 2.948      ;
910
; -1.823 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[7][6]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.289      ; 1.704      ;
911
; -1.823 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[24][7] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.661      ; 3.257      ;
912
; -1.816 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[18][1] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.332      ; 2.920      ;
913
; -1.807 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[26][2] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.195      ; 2.787      ;
914
; -1.803 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[29][4] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.979      ; 2.566      ;
915
; -1.800 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[11][4] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -0.561     ; 0.904      ;
916
; -1.794 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[2][0]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -0.549     ; 0.715      ;
917
; -1.791 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[7][2]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.288      ; 1.679      ;
918
; -1.788 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[7][0]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.293      ; 1.680      ;
919
; -1.786 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[9][2]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.599      ; 1.847      ;
920
; -1.785 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[20][7] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.545      ; 2.930      ;
921
; -1.785 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[10][0] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.501      ; 2.072      ;
922
; -1.784 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[21][5] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.341      ; 2.724      ;
923
; -1.784 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[2][1]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -0.142     ; 1.105      ;
924
; -1.780 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[26][0] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.195      ; 2.761      ;
925
; -1.777 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[18][3] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.437      ; 2.992      ;
926
; -1.775 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[21][3] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.348      ; 2.902      ;
927
+--------+-----------------------------+----------------+--------------+-----------------------+--------------+------------+------------+
928
 
929
 
930
+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
931
; Slow 1200mV 85C Model Setup: 'ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered'                                                                                                                                                                                          ;
932
+--------+--------------------------------------------------+----------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+
933
; Slack  ; From Node                                        ; To Node                                            ; Launch Clock                                                ; Latch Clock                                                 ; Relationship ; Clock Skew ; Data Delay ;
934
+--------+--------------------------------------------------+----------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+
935
; -2.262 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.080     ; 3.180      ;
936
; -2.257 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.080     ; 3.175      ;
937
; -2.102 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.078     ; 3.022      ;
938
; -2.089 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.078     ; 3.009      ;
939
; -2.088 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.080     ; 3.006      ;
940
; -1.971 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.080     ; 2.889      ;
941
; -1.928 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.078     ; 2.848      ;
942
; -1.917 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.077     ; 2.838      ;
943
; -1.912 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.077     ; 2.833      ;
944
; -1.911 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.078     ; 2.831      ;
945
; -1.911 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.078     ; 2.831      ;
946
; -1.911 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.078     ; 2.831      ;
947
; -1.911 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.078     ; 2.831      ;
948
; -1.911 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.078     ; 2.831      ;
949
; -1.908 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.078     ; 2.828      ;
950
; -1.908 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.078     ; 2.828      ;
951
; -1.908 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.078     ; 2.828      ;
952
; -1.908 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.078     ; 2.828      ;
953
; -1.908 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.078     ; 2.828      ;
954
; -1.869 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.076     ; 2.791      ;
955
; -1.867 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.076     ; 2.789      ;
956
; -1.800 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.078     ; 2.720      ;
957
; -1.743 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.077     ; 2.664      ;
958
; -1.737 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.078     ; 2.657      ;
959
; -1.737 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.078     ; 2.657      ;
960
; -1.737 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.078     ; 2.657      ;
961
; -1.737 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.078     ; 2.657      ;
962
; -1.737 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.078     ; 2.657      ;
963
; -1.718 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.080     ; 2.636      ;
964
; -1.695 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.076     ; 2.617      ;
965
; -1.626 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.077     ; 2.547      ;
966
; -1.617 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.078     ; 2.537      ;
967
; -1.617 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.078     ; 2.537      ;
968
; -1.617 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.078     ; 2.537      ;
969
; -1.617 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.078     ; 2.537      ;
970
; -1.617 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.078     ; 2.537      ;
971
; -1.601 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.520      ;
972
; -1.601 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.520      ;
973
; -1.601 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.520      ;
974
; -1.601 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.520      ;
975
; -1.601 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.520      ;
976
; -1.601 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.520      ;
977
; -1.601 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.520      ;
978
; -1.601 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.520      ;
979
; -1.601 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.520      ;
980
; -1.596 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.515      ;
981
; -1.596 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.515      ;
982
; -1.596 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.515      ;
983
; -1.596 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.515      ;
984
; -1.596 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.515      ;
985
; -1.596 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.515      ;
986
; -1.596 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.515      ;
987
; -1.596 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.515      ;
988
; -1.596 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.515      ;
989
; -1.576 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.076     ; 2.498      ;
990
; -1.559 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.078     ; 2.479      ;
991
; -1.427 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.346      ;
992
; -1.427 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.346      ;
993
; -1.427 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.346      ;
994
; -1.427 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.346      ;
995
; -1.427 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.346      ;
996
; -1.427 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.346      ;
997
; -1.427 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.346      ;
998
; -1.427 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.346      ;
999
; -1.427 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.346      ;
1000
; -1.404 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.077     ; 2.325      ;
1001
; -1.395 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.078     ; 2.315      ;
1002
; -1.395 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.078     ; 2.315      ;
1003
; -1.395 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.078     ; 2.315      ;
1004
; -1.395 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.078     ; 2.315      ;
1005
; -1.395 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.078     ; 2.315      ;
1006
; -1.364 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.080     ; 2.282      ;
1007
; -1.359 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.080     ; 2.277      ;
1008
; -1.354 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.076     ; 2.276      ;
1009
; -1.323 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.080     ; 2.241      ;
1010
; -1.322 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.080     ; 2.240      ;
1011
; -1.318 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.080     ; 2.236      ;
1012
; -1.317 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.080     ; 2.235      ;
1013
; -1.310 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.229      ;
1014
; -1.310 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.229      ;
1015
; -1.310 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.229      ;
1016
; -1.310 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.229      ;
1017
; -1.310 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.229      ;
1018
; -1.310 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.229      ;
1019
; -1.310 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.229      ;
1020
; -1.310 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.229      ;
1021
; -1.310 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.229      ;
1022
; -1.265 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.076     ; 2.187      ;
1023
; -1.218 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.078     ; 2.138      ;
1024
; -1.190 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.080     ; 2.108      ;
1025
; -1.149 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.080     ; 2.067      ;
1026
; -1.148 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.080     ; 2.066      ;
1027
; -1.120 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.039      ;
1028
; -1.120 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.039      ;
1029
; -1.120 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.039      ;
1030
; -1.120 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.039      ;
1031
; -1.120 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.039      ;
1032
; -1.120 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.039      ;
1033
; -1.120 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.039      ;
1034
; -1.120 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.079     ; 2.039      ;
1035
+--------+--------------------------------------------------+----------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+
1036
 
1037
 
1038
+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
1039
; Slow 1200mV 85C Model Setup: 'clk_div:clkdiv_inst|clock_25Mhz_int'                                                                                                                                   ;
1040
+--------+-----------------------------------+------------------------------------+-------------------------------------+-------------------------------------+--------------+------------+------------+
1041
; Slack  ; From Node                         ; To Node                            ; Launch Clock                        ; Latch Clock                         ; Relationship ; Clock Skew ; Data Delay ;
1042
+--------+-----------------------------------+------------------------------------+-------------------------------------+-------------------------------------+--------------+------------+------------+
1043
; -0.895 ; clk_div:clkdiv_inst|count_1Mhz[1] ; clk_div:clkdiv_inst|count_1Mhz[4]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.048     ; 1.865      ;
1044
; -0.869 ; clk_div:clkdiv_inst|count_1Mhz[3] ; clk_div:clkdiv_inst|count_1Mhz[4]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.048     ; 1.839      ;
1045
; -0.869 ; clk_div:clkdiv_inst|count_1Mhz[3] ; clk_div:clkdiv_inst|count_1Mhz[2]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.048     ; 1.839      ;
1046
; -0.869 ; clk_div:clkdiv_inst|count_1Mhz[3] ; clk_div:clkdiv_inst|count_1Mhz[1]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.048     ; 1.839      ;
1047
; -0.869 ; clk_div:clkdiv_inst|count_1Mhz[3] ; clk_div:clkdiv_inst|count_1Mhz[0]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.048     ; 1.839      ;
1048
; -0.864 ; clk_div:clkdiv_inst|count_1Mhz[3] ; clk_div:clkdiv_inst|count_1Mhz[3]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.043     ; 1.839      ;
1049
; -0.810 ; clk_div:clkdiv_inst|count_1Mhz[0] ; clk_div:clkdiv_inst|count_1Mhz[4]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.048     ; 1.780      ;
1050
; -0.771 ; clk_div:clkdiv_inst|count_1Mhz[0] ; clk_div:clkdiv_inst|count_1Mhz[3]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.048     ; 1.741      ;
1051
; -0.763 ; clk_div:clkdiv_inst|count_1Mhz[1] ; clk_div:clkdiv_inst|count_1Mhz[2]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.048     ; 1.733      ;
1052
; -0.744 ; clk_div:clkdiv_inst|count_1Mhz[1] ; clk_div:clkdiv_inst|count_1Mhz[3]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.048     ; 1.714      ;
1053
; -0.686 ; clk_div:clkdiv_inst|count_1Mhz[2] ; clk_div:clkdiv_inst|count_1Mhz[4]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.048     ; 1.656      ;
1054
; -0.678 ; clk_div:clkdiv_inst|count_1Mhz[0] ; clk_div:clkdiv_inst|count_1Mhz[2]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.048     ; 1.648      ;
1055
; -0.652 ; clk_div:clkdiv_inst|count_1Mhz[2] ; clk_div:clkdiv_inst|count_1Mhz[3]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.048     ; 1.622      ;
1056
; -0.639 ; clk_div:clkdiv_inst|count_1Mhz[0] ; clk_div:clkdiv_inst|count_1Mhz[1]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.048     ; 1.609      ;
1057
; -0.550 ; clk_div:clkdiv_inst|count_1Mhz[4] ; clk_div:clkdiv_inst|count_1Mhz[3]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.048     ; 1.520      ;
1058
; -0.550 ; clk_div:clkdiv_inst|count_1Mhz[4] ; clk_div:clkdiv_inst|count_1Mhz[2]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.048     ; 1.520      ;
1059
; -0.550 ; clk_div:clkdiv_inst|count_1Mhz[4] ; clk_div:clkdiv_inst|count_1Mhz[1]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.048     ; 1.520      ;
1060
; -0.550 ; clk_div:clkdiv_inst|count_1Mhz[4] ; clk_div:clkdiv_inst|count_1Mhz[0]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.048     ; 1.520      ;
1061
; -0.545 ; clk_div:clkdiv_inst|count_1Mhz[4] ; clk_div:clkdiv_inst|count_1Mhz[4]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.043     ; 1.520      ;
1062
; -0.168 ; clk_div:clkdiv_inst|count_1Mhz[2] ; clk_div:clkdiv_inst|count_1Mhz[2]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.043     ; 1.143      ;
1063
; -0.162 ; clk_div:clkdiv_inst|count_1Mhz[0] ; clk_div:clkdiv_inst|count_1Mhz[0]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.043     ; 1.137      ;
1064
; -0.160 ; clk_div:clkdiv_inst|count_1Mhz[3] ; clk_div:clkdiv_inst|clock_1Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.048     ; 1.130      ;
1065
; -0.144 ; clk_div:clkdiv_inst|count_1Mhz[2] ; clk_div:clkdiv_inst|clock_1Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.048     ; 1.114      ;
1066
; -0.136 ; clk_div:clkdiv_inst|count_1Mhz[1] ; clk_div:clkdiv_inst|count_1Mhz[1]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.043     ; 1.111      ;
1067
; 0.159  ; clk_div:clkdiv_inst|count_1Mhz[4] ; clk_div:clkdiv_inst|clock_1Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.048     ; 0.811      ;
1068
+--------+-----------------------------------+------------------------------------+-------------------------------------+-------------------------------------+--------------+------------+------------+
1069
 
1070
 
1071
+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
1072
; Slow 1200mV 85C Model Setup: 'clk_div:clkdiv_inst|clock_1Mhz_int'                                                                                                                                         ;
1073
+--------+--------------------------------------+--------------------------------------+--------------------------------------+------------------------------------+--------------+------------+------------+
1074
; Slack  ; From Node                            ; To Node                              ; Launch Clock                         ; Latch Clock                        ; Relationship ; Clock Skew ; Data Delay ;
1075
+--------+--------------------------------------+--------------------------------------+--------------------------------------+------------------------------------+--------------+------------+------------+
1076
; -0.651 ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 1.000        ; -0.048     ; 1.621      ;
1077
; -0.496 ; clk_div:clkdiv_inst|count_100Khz[2]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 1.000        ; -0.048     ; 1.466      ;
1078
; -0.300 ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|count_100Khz[2]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 1.000        ; -0.048     ; 1.270      ;
1079
; -0.209 ; clk_div:clkdiv_inst|count_100Khz[1]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 1.000        ; -0.048     ; 1.179      ;
1080
; -0.141 ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.500        ; 1.002      ; 1.893      ;
1081
; -0.115 ; clk_div:clkdiv_inst|count_100Khz[1]  ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 1.000        ; -0.048     ; 1.085      ;
1082
; 0.002  ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|count_100Khz[1]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 1.000        ; -0.048     ; 0.968      ;
1083
; 0.150  ; clk_div:clkdiv_inst|count_100Khz[2]  ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 1.000        ; -0.048     ; 0.820      ;
1084
; 0.150  ; clk_div:clkdiv_inst|count_100Khz[1]  ; clk_div:clkdiv_inst|count_100Khz[2]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 1.000        ; -0.048     ; 0.820      ;
1085
; 0.210  ; clk_div:clkdiv_inst|count_100Khz[2]  ; clk_div:clkdiv_inst|count_100Khz[2]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 1.000        ; -0.043     ; 0.765      ;
1086
; 0.210  ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 1.000        ; -0.043     ; 0.765      ;
1087
; 0.210  ; clk_div:clkdiv_inst|count_100Khz[1]  ; clk_div:clkdiv_inst|count_100Khz[1]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 1.000        ; -0.043     ; 0.765      ;
1088
; 0.427  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_1Mhz_int ; 1.000        ; 1.002      ; 1.825      ;
1089
+--------+--------------------------------------+--------------------------------------+--------------------------------------+------------------------------------+--------------+------------+------------+
1090
 
1091
 
1092
+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
1093
; Slow 1200mV 85C Model Setup: 'clk_div:clkdiv_inst|clock_100Khz_int'                                                                                                                                       ;
1094
+--------+-------------------------------------+-------------------------------------+--------------------------------------+--------------------------------------+--------------+------------+------------+
1095
; Slack  ; From Node                           ; To Node                             ; Launch Clock                         ; Latch Clock                          ; Relationship ; Clock Skew ; Data Delay ;
1096
+--------+-------------------------------------+-------------------------------------+--------------------------------------+--------------------------------------+--------------+------------+------------+
1097
; -0.530 ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 1.000        ; -0.047     ; 1.501      ;
1098
; -0.356 ; clk_div:clkdiv_inst|count_10Khz[2]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 1.000        ; -0.047     ; 1.327      ;
1099
; -0.208 ; clk_div:clkdiv_inst|count_10Khz[1]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 1.000        ; -0.047     ; 1.179      ;
1100
; -0.182 ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|count_10Khz[2]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 1.000        ; -0.047     ; 1.153      ;
1101
; -0.114 ; clk_div:clkdiv_inst|count_10Khz[1]  ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 1.000        ; -0.047     ; 1.085      ;
1102
; -0.075 ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int  ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.500        ; 2.257      ; 3.082      ;
1103
; 0.005  ; clk_div:clkdiv_inst|count_10Khz[2]  ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 1.000        ; -0.047     ; 0.966      ;
1104
; 0.008  ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|count_10Khz[1]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 1.000        ; -0.047     ; 0.963      ;
1105
; 0.150  ; clk_div:clkdiv_inst|count_10Khz[1]  ; clk_div:clkdiv_inst|count_10Khz[2]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 1.000        ; -0.047     ; 0.821      ;
1106
; 0.210  ; clk_div:clkdiv_inst|count_10Khz[2]  ; clk_div:clkdiv_inst|count_10Khz[2]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 1.000        ; -0.043     ; 0.765      ;
1107
; 0.210  ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 1.000        ; -0.043     ; 0.765      ;
1108
; 0.210  ; clk_div:clkdiv_inst|count_10Khz[1]  ; clk_div:clkdiv_inst|count_10Khz[1]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 1.000        ; -0.043     ; 0.765      ;
1109
; 0.437  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int  ; clk_div:clkdiv_inst|clock_100Khz_int ; 1.000        ; 2.257      ; 3.070      ;
1110
+--------+-------------------------------------+-------------------------------------+--------------------------------------+--------------------------------------+--------------+------------+------------+
1111
 
1112
 
1113
+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
1114
; Slow 1200mV 85C Model Setup: 'clk_div:clkdiv_inst|clock_10Khz_int'                                                                                                                                    ;
1115
+--------+------------------------------------+------------------------------------+-------------------------------------+-------------------------------------+--------------+------------+------------+
1116
; Slack  ; From Node                          ; To Node                            ; Launch Clock                        ; Latch Clock                         ; Relationship ; Clock Skew ; Data Delay ;
1117
+--------+------------------------------------+------------------------------------+-------------------------------------+-------------------------------------+--------------+------------+------------+
1118
; -0.521 ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 1.000        ; -0.047     ; 1.492      ;
1119
; -0.356 ; clk_div:clkdiv_inst|count_1Khz[2]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 1.000        ; -0.047     ; 1.327      ;
1120
; -0.210 ; clk_div:clkdiv_inst|count_1Khz[1]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 1.000        ; -0.047     ; 1.181      ;
1121
; -0.168 ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|count_1Khz[2]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 1.000        ; -0.047     ; 1.139      ;
1122
; -0.115 ; clk_div:clkdiv_inst|count_1Khz[1]  ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 1.000        ; -0.047     ; 1.086      ;
1123
; -0.042 ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int  ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.500        ; 0.864      ; 1.656      ;
1124
; 0.007  ; clk_div:clkdiv_inst|count_1Khz[2]  ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 1.000        ; -0.047     ; 0.964      ;
1125
; 0.011  ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|count_1Khz[1]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 1.000        ; -0.047     ; 0.960      ;
1126
; 0.150  ; clk_div:clkdiv_inst|count_1Khz[1]  ; clk_div:clkdiv_inst|count_1Khz[2]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 1.000        ; -0.047     ; 0.821      ;
1127
; 0.210  ; clk_div:clkdiv_inst|count_1Khz[2]  ; clk_div:clkdiv_inst|count_1Khz[2]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 1.000        ; -0.043     ; 0.765      ;
1128
; 0.210  ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 1.000        ; -0.043     ; 0.765      ;
1129
; 0.210  ; clk_div:clkdiv_inst|count_1Khz[1]  ; clk_div:clkdiv_inst|count_1Khz[1]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 1.000        ; -0.043     ; 0.765      ;
1130
; 0.534  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int  ; clk_div:clkdiv_inst|clock_10Khz_int ; 1.000        ; 0.864      ; 1.580      ;
1131
+--------+------------------------------------+------------------------------------+-------------------------------------+-------------------------------------+--------------+------------+------------+
1132
 
1133
 
1134
+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
1135
; Slow 1200mV 85C Model Setup: 'clk_div:clkdiv_inst|clock_1Khz_int'                                                                                                                                     ;
1136
+--------+-------------------------------------+-------------------------------------+------------------------------------+------------------------------------+--------------+------------+------------+
1137
; Slack  ; From Node                           ; To Node                             ; Launch Clock                       ; Latch Clock                        ; Relationship ; Clock Skew ; Data Delay ;
1138
+--------+-------------------------------------+-------------------------------------+------------------------------------+------------------------------------+--------------+------------+------------+
1139
; -0.190 ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|clock_100hz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 1.000        ; -0.046     ; 1.162      ;
1140
; -0.181 ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|count_100hz[2]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 1.000        ; -0.046     ; 1.153      ;
1141
; -0.152 ; clk_div:clkdiv_inst|count_100hz[2]  ; clk_div:clkdiv_inst|clock_100hz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 1.000        ; -0.046     ; 1.124      ;
1142
; -0.124 ; clk_div:clkdiv_inst|count_100hz[1]  ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 1.000        ; -0.046     ; 1.096      ;
1143
; 0.004  ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|count_100hz[1]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 1.000        ; -0.046     ; 0.968      ;
1144
; 0.146  ; clk_div:clkdiv_inst|count_100hz[1]  ; clk_div:clkdiv_inst|clock_100hz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 1.000        ; -0.046     ; 0.826      ;
1145
; 0.149  ; clk_div:clkdiv_inst|count_100hz[1]  ; clk_div:clkdiv_inst|count_100hz[2]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 1.000        ; -0.046     ; 0.823      ;
1146
; 0.161  ; clk_div:clkdiv_inst|count_100hz[2]  ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 1.000        ; -0.046     ; 0.811      ;
1147
; 0.210  ; clk_div:clkdiv_inst|count_100hz[2]  ; clk_div:clkdiv_inst|count_100hz[2]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 1.000        ; -0.043     ; 0.765      ;
1148
; 0.210  ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 1.000        ; -0.043     ; 0.765      ;
1149
; 0.210  ; clk_div:clkdiv_inst|count_100hz[1]  ; clk_div:clkdiv_inst|count_100hz[1]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 1.000        ; -0.043     ; 0.765      ;
1150
; 0.210  ; clk_div:clkdiv_inst|clock_100hz_int ; clk_div:clkdiv_inst|clock_100hz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 1.000        ; -0.043     ; 0.765      ;
1151
+--------+-------------------------------------+-------------------------------------+------------------------------------+------------------------------------+--------------+------------+------------+
1152
 
1153
 
1154
+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
1155
; Slow 1200mV 85C Model Hold: 'SW[16]'                                                                                                                                                                                          ;
1156
+--------+-----------------------+---------------------------------------------------------------------------------------------------------------+-----------------------+-------------+--------------+------------+------------+
1157
; Slack  ; From Node             ; To Node                                                                                                       ; Launch Clock          ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
1158
+--------+-----------------------+---------------------------------------------------------------------------------------------------------------+-----------------------+-------------+--------------+------------+------------+
1159
; -2.980 ; \random:rand_temp[14] ; T80se:z80_inst|DI_Reg[6]                                                                                      ; CLOCK_50              ; SW[16]      ; 0.000        ; 4.980      ; 2.226      ;
1160
; -2.921 ; \random:rand_temp[14] ; T80se:z80_inst|T80:u0|IR[6]                                                                                   ; CLOCK_50              ; SW[16]      ; 0.000        ; 4.980      ; 2.285      ;
1161
; -2.723 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|T80:u0|IR[3]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.932      ; 5.657      ;
1162
; -2.578 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|T80:u0|IR[4]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.932      ; 5.802      ;
1163
; -2.547 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|DI_Reg[4]                                                                                      ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.933      ; 5.834      ;
1164
; -2.440 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|DI_Reg[3]                                                                                      ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.933      ; 5.941      ;
1165
; -2.379 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|DI_Reg[7]                                                                                      ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.932      ; 6.001      ;
1166
; -2.368 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|T80:u0|IR[5]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.932      ; 6.012      ;
1167
; -2.334 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|T80:u0|IR[7]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.941      ; 6.055      ;
1168
; -2.285 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|DI_Reg[5]                                                                                      ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.933      ; 6.096      ;
1169
; -2.259 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|T80:u0|IR[6]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.932      ; 6.121      ;
1170
; -2.228 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|T80:u0|IR[3]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; -0.500       ; 7.932      ; 5.652      ;
1171
; -2.052 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|T80:u0|IR[4]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; -0.500       ; 7.932      ; 5.828      ;
1172
; -2.021 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|DI_Reg[4]                                                                                      ; T80se:z80_inst|MREQ_n ; SW[16]      ; -0.500       ; 7.933      ; 5.860      ;
1173
; -1.968 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|DI_Reg[6]                                                                                      ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.932      ; 6.412      ;
1174
; -1.945 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|DI_Reg[2]                                                                                      ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.933      ; 6.436      ;
1175
; -1.937 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|DI_Reg[3]                                                                                      ; T80se:z80_inst|MREQ_n ; SW[16]      ; -0.500       ; 7.933      ; 5.944      ;
1176
; -1.841 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|T80:u0|IR[2]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.941      ; 6.548      ;
1177
; -1.773 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|T80:u0|IR[5]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; -0.500       ; 7.932      ; 6.107      ;
1178
; -1.761 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|DI_Reg[7]                                                                                      ; T80se:z80_inst|MREQ_n ; SW[16]      ; -0.500       ; 7.932      ; 6.119      ;
1179
; -1.716 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|T80:u0|IR[7]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; -0.500       ; 7.941      ; 6.173      ;
1180
; -1.702 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|T80:u0|IR[6]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; -0.500       ; 7.932      ; 6.178      ;
1181
; -1.698 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|DI_Reg[5]                                                                                      ; T80se:z80_inst|MREQ_n ; SW[16]      ; -0.500       ; 7.933      ; 6.183      ;
1182
; -1.501 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|DI_Reg[6]                                                                                      ; T80se:z80_inst|MREQ_n ; SW[16]      ; -0.500       ; 7.932      ; 6.379      ;
1183
; -1.464 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|DI_Reg[1]                                                                                      ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.932      ; 6.916      ;
1184
; -1.405 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|T80:u0|IR[0]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.940      ; 6.983      ;
1185
; -1.333 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|DI_Reg[2]                                                                                      ; T80se:z80_inst|MREQ_n ; SW[16]      ; -0.500       ; 7.933      ; 6.548      ;
1186
; -1.299 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|DI_Reg[0]                                                                                      ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.933      ; 7.082      ;
1187
; -1.284 ; T80se:z80_inst|MREQ_n ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a4~porta_datain_reg0  ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 8.285      ; 7.485      ;
1188
; -1.283 ; T80se:z80_inst|MREQ_n ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a4~porta_address_reg0 ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 8.279      ; 7.480      ;
1189
; -1.283 ; T80se:z80_inst|MREQ_n ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a4~porta_we_reg       ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 8.279      ; 7.480      ;
1190
; -1.271 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|T80:u0|IR[1]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.941      ; 7.118      ;
1191
; -1.271 ; T80se:z80_inst|MREQ_n ; \pinout_process:LEDR_sig[2]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.920      ; 7.097      ;
1192
; -1.262 ; T80se:z80_inst|MREQ_n ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a4~porta_datain_reg0    ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 8.290      ; 7.512      ;
1193
; -1.261 ; T80se:z80_inst|MREQ_n ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a4~porta_address_reg0   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 8.284      ; 7.507      ;
1194
; -1.261 ; T80se:z80_inst|MREQ_n ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a4~porta_we_reg         ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 8.284      ; 7.507      ;
1195
; -1.247 ; T80se:z80_inst|MREQ_n ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a0~porta_datain_reg0    ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 8.290      ; 7.527      ;
1196
; -1.246 ; T80se:z80_inst|MREQ_n ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a0~porta_address_reg0   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 8.284      ; 7.522      ;
1197
; -1.246 ; T80se:z80_inst|MREQ_n ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a0~porta_we_reg         ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 8.284      ; 7.522      ;
1198
; -1.241 ; T80se:z80_inst|MREQ_n ; \pinout_process:LEDR_sig[0]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.932      ; 7.139      ;
1199
; -1.241 ; T80se:z80_inst|MREQ_n ; \pinout_process:LEDR_sig[1]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.932      ; 7.139      ;
1200
; -1.241 ; T80se:z80_inst|MREQ_n ; \pinout_process:LEDR_sig[3]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.932      ; 7.139      ;
1201
; -1.241 ; T80se:z80_inst|MREQ_n ; \pinout_process:LEDR_sig[4]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.932      ; 7.139      ;
1202
; -1.241 ; T80se:z80_inst|MREQ_n ; \pinout_process:LEDR_sig[5]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.932      ; 7.139      ;
1203
; -1.241 ; T80se:z80_inst|MREQ_n ; \pinout_process:LEDR_sig[7]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.932      ; 7.139      ;
1204
; -1.235 ; T80se:z80_inst|MREQ_n ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a5~porta_datain_reg0  ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 8.268      ; 7.517      ;
1205
; -1.234 ; T80se:z80_inst|MREQ_n ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a5~porta_address_reg0 ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 8.262      ; 7.512      ;
1206
; -1.234 ; T80se:z80_inst|MREQ_n ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a5~porta_we_reg       ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 8.262      ; 7.512      ;
1207
; -1.229 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|T80:u0|IR[2]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; -0.500       ; 7.941      ; 6.660      ;
1208
; -1.224 ; T80se:z80_inst|MREQ_n ; \pinout_process:LEDR_sig[6]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.928      ; 7.152      ;
1209
; -1.199 ; T80se:z80_inst|MREQ_n ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a6~porta_datain_reg0  ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 8.286      ; 7.571      ;
1210
; -1.198 ; T80se:z80_inst|MREQ_n ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a6~porta_address_reg0 ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 8.280      ; 7.566      ;
1211
; -1.198 ; T80se:z80_inst|MREQ_n ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a6~porta_we_reg       ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 8.280      ; 7.566      ;
1212
; -1.193 ; T80se:z80_inst|MREQ_n ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a3~porta_datain_reg0  ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 8.289      ; 7.580      ;
1213
; -1.192 ; T80se:z80_inst|MREQ_n ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a3~porta_address_reg0 ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 8.283      ; 7.575      ;
1214
; -1.192 ; T80se:z80_inst|MREQ_n ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a3~porta_we_reg       ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 8.283      ; 7.575      ;
1215
; -1.183 ; T80se:z80_inst|MREQ_n ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a1~porta_datain_reg0  ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 8.283      ; 7.584      ;
1216
; -1.182 ; T80se:z80_inst|MREQ_n ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a1~porta_address_reg0 ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 8.277      ; 7.579      ;
1217
; -1.182 ; T80se:z80_inst|MREQ_n ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a1~porta_we_reg       ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 8.277      ; 7.579      ;
1218
; -1.147 ; T80se:z80_inst|MREQ_n ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a0~porta_datain_reg0  ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 8.270      ; 7.607      ;
1219
; -1.146 ; T80se:z80_inst|MREQ_n ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a0~porta_address_reg0 ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 8.264      ; 7.602      ;
1220
; -1.146 ; T80se:z80_inst|MREQ_n ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a0~porta_we_reg       ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 8.264      ; 7.602      ;
1221
; -1.141 ; T80se:z80_inst|MREQ_n ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a2~porta_datain_reg0  ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 8.273      ; 7.616      ;
1222
; -1.140 ; T80se:z80_inst|MREQ_n ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a2~porta_address_reg0 ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 8.267      ; 7.611      ;
1223
; -1.140 ; T80se:z80_inst|MREQ_n ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a2~porta_we_reg       ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 8.267      ; 7.611      ;
1224
; -1.135 ; T80se:z80_inst|MREQ_n ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a7~porta_we_reg       ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 8.266      ; 7.615      ;
1225
; -1.109 ; T80se:z80_inst|MREQ_n ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a7~porta_datain_reg0  ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 8.272      ; 7.647      ;
1226
; -1.108 ; T80se:z80_inst|MREQ_n ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a7~porta_address_reg0 ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 8.266      ; 7.642      ;
1227
; -1.082 ; \random:rand_temp[11] ; T80se:z80_inst|T80:u0|IR[3]                                                                                   ; CLOCK_50              ; SW[16]      ; 0.000        ; 4.980      ; 4.124      ;
1228
; -1.080 ; T80se:z80_inst|MREQ_n ; \pinout_process:LEDG_sig[0]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.929      ; 7.297      ;
1229
; -1.080 ; T80se:z80_inst|MREQ_n ; \pinout_process:LEDG_sig[1]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.929      ; 7.297      ;
1230
; -1.080 ; T80se:z80_inst|MREQ_n ; \pinout_process:LEDG_sig[2]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.929      ; 7.297      ;
1231
; -1.080 ; T80se:z80_inst|MREQ_n ; \pinout_process:LEDG_sig[3]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.929      ; 7.297      ;
1232
; -1.080 ; T80se:z80_inst|MREQ_n ; \pinout_process:LEDG_sig[4]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.929      ; 7.297      ;
1233
; -1.080 ; T80se:z80_inst|MREQ_n ; \pinout_process:LEDG_sig[5]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.929      ; 7.297      ;
1234
; -1.080 ; T80se:z80_inst|MREQ_n ; \pinout_process:LEDG_sig[6]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.929      ; 7.297      ;
1235
; -1.080 ; T80se:z80_inst|MREQ_n ; \pinout_process:LEDG_sig[7]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.929      ; 7.297      ;
1236
; -1.072 ; T80se:z80_inst|MREQ_n ; \pinout_process:NUMBER2_sig[0]                                                                                ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.871      ; 7.247      ;
1237
; -1.072 ; T80se:z80_inst|MREQ_n ; \pinout_process:NUMBER2_sig[1]                                                                                ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.871      ; 7.247      ;
1238
; -1.072 ; T80se:z80_inst|MREQ_n ; \pinout_process:NUMBER2_sig[2]                                                                                ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.871      ; 7.247      ;
1239
; -1.072 ; T80se:z80_inst|MREQ_n ; \pinout_process:NUMBER2_sig[3]                                                                                ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.871      ; 7.247      ;
1240
; -1.072 ; T80se:z80_inst|MREQ_n ; \pinout_process:NUMBER3_sig[0]                                                                                ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.871      ; 7.247      ;
1241
; -1.072 ; T80se:z80_inst|MREQ_n ; \pinout_process:NUMBER3_sig[1]                                                                                ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.871      ; 7.247      ;
1242
; -1.072 ; T80se:z80_inst|MREQ_n ; \pinout_process:NUMBER3_sig[2]                                                                                ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.871      ; 7.247      ;
1243
; -1.072 ; T80se:z80_inst|MREQ_n ; \pinout_process:NUMBER3_sig[3]                                                                                ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.871      ; 7.247      ;
1244
; -1.071 ; T80se:z80_inst|MREQ_n ; LCDON_reg                                                                                                     ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.918      ; 7.295      ;
1245
; -1.054 ; T80se:z80_inst|MREQ_n ; \pinout_process:LEDR_sig[8]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.932      ; 7.326      ;
1246
; -1.054 ; T80se:z80_inst|MREQ_n ; \pinout_process:LEDR_sig[9]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.932      ; 7.326      ;
1247
; -1.054 ; T80se:z80_inst|MREQ_n ; \pinout_process:LEDR_sig[10]                                                                                  ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.932      ; 7.326      ;
1248
; -1.054 ; T80se:z80_inst|MREQ_n ; \pinout_process:LEDR_sig[11]                                                                                  ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.932      ; 7.326      ;
1249
; -1.054 ; T80se:z80_inst|MREQ_n ; \pinout_process:LEDR_sig[12]                                                                                  ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.932      ; 7.326      ;
1250
; -1.054 ; T80se:z80_inst|MREQ_n ; \pinout_process:LEDR_sig[13]                                                                                  ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.932      ; 7.326      ;
1251
; -1.054 ; T80se:z80_inst|MREQ_n ; \pinout_process:LEDR_sig[14]                                                                                  ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.932      ; 7.326      ;
1252
; -1.054 ; T80se:z80_inst|MREQ_n ; \pinout_process:LEDR_sig[15]                                                                                  ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.932      ; 7.326      ;
1253
; -0.973 ; T80se:z80_inst|MREQ_n ; \pinout_process:NUMBER4_sig[0]                                                                                ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.920      ; 7.395      ;
1254
; -0.973 ; T80se:z80_inst|MREQ_n ; \pinout_process:NUMBER4_sig[1]                                                                                ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.920      ; 7.395      ;
1255
; -0.973 ; T80se:z80_inst|MREQ_n ; \pinout_process:NUMBER4_sig[2]                                                                                ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.920      ; 7.395      ;
1256
; -0.973 ; T80se:z80_inst|MREQ_n ; \pinout_process:NUMBER4_sig[3]                                                                                ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.920      ; 7.395      ;
1257
; -0.957 ; T80se:z80_inst|MREQ_n ; \pinout_process:NUMBER5_sig[0]                                                                                ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.888      ; 7.379      ;
1258
; -0.957 ; T80se:z80_inst|MREQ_n ; \pinout_process:NUMBER5_sig[1]                                                                                ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.888      ; 7.379      ;
1259
+--------+-----------------------+---------------------------------------------------------------------------------------------------------------+-----------------------+-------------+--------------+------------+------------+
1260
 
1261
 
1262
+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
1263
; Slow 1200mV 85C Model Hold: 'ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered'                                                                                                                                                                                           ;
1264
+--------+--------------------------------------------------+----------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+
1265
; Slack  ; From Node                                        ; To Node                                            ; Launch Clock                                                ; Latch Clock                                                 ; Relationship ; Clock Skew ; Data Delay ;
1266
+--------+--------------------------------------------------+----------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+
1267
; -0.439 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set             ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 4.443      ; 4.432      ;
1268
; -0.021 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set             ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; -0.500       ; 4.443      ; 4.350      ;
1269
; 0.403  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 0.669      ;
1270
; 0.403  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 0.669      ;
1271
; 0.408  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 0.674      ;
1272
; 0.432  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.079      ; 0.697      ;
1273
; 0.453  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.079      ; 0.718      ;
1274
; 0.453  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.079      ; 0.718      ;
1275
; 0.576  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.079      ; 0.841      ;
1276
; 0.577  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.079      ; 0.842      ;
1277
; 0.577  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.079      ; 0.842      ;
1278
; 0.579  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.079      ; 0.844      ;
1279
; 0.722  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.079      ; 0.987      ;
1280
; 0.903  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 1.169      ;
1281
; 0.946  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 1.212      ;
1282
; 0.953  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 1.219      ;
1283
; 1.072  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.081      ; 1.339      ;
1284
; 1.078  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 1.344      ;
1285
; 1.084  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.081      ; 1.351      ;
1286
; 1.089  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 1.355      ;
1287
; 1.094  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.081      ; 1.361      ;
1288
; 1.146  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.082      ; 1.414      ;
1289
; 1.190  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 1.456      ;
1290
; 1.222  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 1.488      ;
1291
; 1.225  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 1.491      ;
1292
; 1.269  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 1.535      ;
1293
; 1.269  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 1.535      ;
1294
; 1.311  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.081      ; 1.578      ;
1295
; 1.322  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.081      ; 1.589      ;
1296
; 1.390  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 1.656      ;
1297
; 1.390  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 1.656      ;
1298
; 1.547  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 1.813      ;
1299
; 1.547  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 1.813      ;
1300
; 1.550  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 1.816      ;
1301
; 1.558  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 1.824      ;
1302
; 1.558  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 1.824      ;
1303
; 1.558  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 1.824      ;
1304
; 1.558  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 1.824      ;
1305
; 1.559  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 1.825      ;
1306
; 1.628  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 1.894      ;
1307
; 1.671  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 1.937      ;
1308
; 1.760  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 2.026      ;
1309
; 1.760  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 2.026      ;
1310
; 1.760  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 2.026      ;
1311
; 1.760  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 2.026      ;
1312
; 1.760  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 2.026      ;
1313
; 1.760  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 2.026      ;
1314
; 1.760  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 2.026      ;
1315
; 1.760  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 2.026      ;
1316
; 1.760  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 2.026      ;
1317
; 1.773  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.081      ; 2.040      ;
1318
; 1.809  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.083      ; 2.078      ;
1319
; 1.889  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 2.155      ;
1320
; 1.889  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 2.155      ;
1321
; 1.889  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 2.155      ;
1322
; 1.889  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 2.155      ;
1323
; 1.889  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 2.155      ;
1324
; 1.889  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 2.155      ;
1325
; 1.889  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 2.155      ;
1326
; 1.889  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 2.155      ;
1327
; 1.889  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 2.155      ;
1328
; 2.010  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 2.276      ;
1329
; 2.010  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 2.276      ;
1330
; 2.010  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 2.276      ;
1331
; 2.010  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 2.276      ;
1332
; 2.010  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 2.276      ;
1333
; 2.010  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 2.276      ;
1334
; 2.010  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 2.276      ;
1335
; 2.010  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 2.276      ;
1336
; 2.010  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 2.276      ;
1337
; 2.011  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.084      ; 2.281      ;
1338
; 2.052  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.082      ; 2.320      ;
1339
; 2.052  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.083      ; 2.321      ;
1340
; 2.052  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.082      ; 2.320      ;
1341
; 2.052  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.082      ; 2.320      ;
1342
; 2.052  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.082      ; 2.320      ;
1343
; 2.052  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.082      ; 2.320      ;
1344
; 2.062  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 2.328      ;
1345
; 2.167  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 2.433      ;
1346
; 2.167  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 2.433      ;
1347
; 2.167  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 2.433      ;
1348
; 2.167  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 2.433      ;
1349
; 2.167  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 2.433      ;
1350
; 2.167  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 2.433      ;
1351
; 2.167  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 2.433      ;
1352
; 2.167  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 2.433      ;
1353
; 2.167  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 2.433      ;
1354
; 2.179  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 2.445      ;
1355
; 2.179  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 2.445      ;
1356
; 2.179  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 2.445      ;
1357
; 2.179  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 2.445      ;
1358
; 2.179  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 2.445      ;
1359
; 2.179  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 2.445      ;
1360
; 2.179  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 2.445      ;
1361
; 2.179  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 2.445      ;
1362
; 2.179  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.080      ; 2.445      ;
1363
; 2.235  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.082      ; 2.503      ;
1364
; 2.256  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.084      ; 2.526      ;
1365
; 2.297  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.082      ; 2.565      ;
1366
; 2.297  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.083      ; 2.566      ;
1367
+--------+--------------------------------------------------+----------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+
1368
 
1369
 
1370
+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
1371
; Slow 1200mV 85C Model Hold: 'CLOCK_50'                                                                                                                                                                                                                  ;
1372
+--------+-------------------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+-------------+--------------+------------+------------+
1373
; Slack  ; From Node                                                   ; To Node                                                     ; Launch Clock                                                ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
1374
+--------+-------------------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+-------------+--------------+------------+------------+
1375
; -0.254 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 0.000        ; 3.061      ; 3.255      ;
1376
; -0.205 ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|LCD_EN                                         ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 3.040      ; 3.273      ;
1377
; -0.198 ; clk_div:clkdiv_inst|clock_25Mhz_int                         ; clk_div:clkdiv_inst|clock_25Mhz_int                         ; clk_div:clkdiv_inst|clock_25Mhz_int                         ; CLOCK_50    ; 0.000        ; 3.048      ; 3.288      ;
1378
; -0.012 ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|LCD_ON                                         ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 3.042      ; 3.468      ;
1379
; 0.007  ; clk_div:clkdiv_inst|clock_25Mhz_int                         ; clk_div:clkdiv_inst|clock_25MHz                             ; clk_div:clkdiv_inst|clock_25Mhz_int                         ; CLOCK_50    ; 0.000        ; 3.048      ; 3.493      ;
1380
; 0.071  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|state.reset1                                   ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 3.040      ; 3.549      ;
1381
; 0.071  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|next_command.line2                             ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 3.040      ; 3.549      ;
1382
; 0.071  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|state.line2                                    ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 3.040      ; 3.549      ;
1383
; 0.071  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|next_command.mode_set                          ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 3.040      ; 3.549      ;
1384
; 0.071  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|state.mode_set                                 ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 3.040      ; 3.549      ;
1385
; 0.071  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|data_bus_value[7]                              ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 3.040      ; 3.549      ;
1386
; 0.073  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|next_command.return_home                       ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 3.040      ; 3.551      ;
1387
; 0.082  ; clk_div:clkdiv_inst|clock_357Mhz_int                        ; clk_div:clkdiv_inst|clock_357Mhz                            ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.764      ; 1.032      ;
1388
; 0.132  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|state.hold                                     ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 3.033      ; 3.603      ;
1389
; 0.132  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|state.return_home                              ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 3.033      ; 3.603      ;
1390
; 0.132  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|next_command.print_string                      ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 3.033      ; 3.603      ;
1391
; 0.132  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|state.print_string                             ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 3.033      ; 3.603      ;
1392
; 0.155  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|state.drop_LCD_EN                              ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 3.034      ; 3.627      ;
1393
; 0.155  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|next_command.reset2                            ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 3.034      ; 3.627      ;
1394
; 0.155  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|state.reset2                                   ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 3.034      ; 3.627      ;
1395
; 0.155  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|next_command.reset3                            ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 3.034      ; 3.627      ;
1396
; 0.155  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|state.reset3                                   ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 3.034      ; 3.627      ;
1397
; 0.155  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|next_command.func_set                          ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 3.034      ; 3.627      ;
1398
; 0.155  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|state.func_set                                 ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 3.034      ; 3.627      ;
1399
; 0.155  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|next_command.display_off                       ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 3.034      ; 3.627      ;
1400
; 0.155  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|state.display_off                              ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 3.034      ; 3.627      ;
1401
; 0.155  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|next_command.display_clear                     ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 3.034      ; 3.627      ;
1402
; 0.155  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|state.display_clear                            ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 3.034      ; 3.627      ;
1403
; 0.155  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|next_command.display_on                        ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 3.034      ; 3.627      ;
1404
; 0.155  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|state.display_on                               ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 3.034      ; 3.627      ;
1405
; 0.155  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|LCD_RS                                         ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 3.034      ; 3.627      ;
1406
; 0.160  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|data_bus_value[0]                              ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 3.042      ; 3.640      ;
1407
; 0.160  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|data_bus_value[1]                              ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 3.042      ; 3.640      ;
1408
; 0.160  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|data_bus_value[2]                              ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 3.042      ; 3.640      ;
1409
; 0.160  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|data_bus_value[3]                              ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 3.042      ; 3.640      ;
1410
; 0.160  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|data_bus_value[6]                              ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 3.042      ; 3.640      ;
1411
; 0.173  ; clk_div:clkdiv_inst|clock_10Mhz_int                         ; clk_div:clkdiv_inst|clock_10MHz                             ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.764      ; 1.123      ;
1412
; 0.232  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; -0.500       ; 3.061      ; 3.241      ;
1413
; 0.280  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|char_count_sig[3]                              ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 3.040      ; 3.758      ;
1414
; 0.280  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|char_count_sig[4]                              ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 3.040      ; 3.758      ;
1415
; 0.280  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|char_count_sig[1]                              ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 3.040      ; 3.758      ;
1416
; 0.280  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|char_count_sig[2]                              ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 3.040      ; 3.758      ;
1417
; 0.280  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|char_count_sig[0]                              ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 3.040      ; 3.758      ;
1418
; 0.318  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|LCD_EN                                         ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; -0.500       ; 3.040      ; 3.296      ;
1419
; 0.323  ; clk_div:clkdiv_inst|clock_100hz_int                         ; clk_div:clkdiv_inst|clock_100Hz                             ; clk_div:clkdiv_inst|clock_1Khz_int                          ; CLOCK_50    ; 0.000        ; 1.751      ; 2.280      ;
1420
; 0.326  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|data_bus_value[4]                              ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 3.033      ; 3.797      ;
1421
; 0.326  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|data_bus_value[5]                              ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 3.033      ; 3.797      ;
1422
; 0.341  ; clk_div:clkdiv_inst|clock_25Mhz_int                         ; clk_div:clkdiv_inst|clock_25Mhz_int                         ; clk_div:clkdiv_inst|clock_25Mhz_int                         ; CLOCK_50    ; -0.500       ; 3.048      ; 3.327      ;
1423
; 0.402  ; clk_div:clkdiv_inst|count_10Mhz[1]                          ; clk_div:clkdiv_inst|count_10Mhz[1]                          ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.081      ; 0.669      ;
1424
; 0.402  ; clk_div:clkdiv_inst|count_10Mhz[2]                          ; clk_div:clkdiv_inst|count_10Mhz[2]                          ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.081      ; 0.669      ;
1425
; 0.402  ; clk_div:clkdiv_inst|clock_10Mhz_int                         ; clk_div:clkdiv_inst|clock_10Mhz_int                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.081      ; 0.669      ;
1426
; 0.402  ; clk_div:clkdiv_inst|count_357Mhz[1]                         ; clk_div:clkdiv_inst|count_357Mhz[1]                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.081      ; 0.669      ;
1427
; 0.402  ; clk_div:clkdiv_inst|count_357Mhz[2]                         ; clk_div:clkdiv_inst|count_357Mhz[2]                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.081      ; 0.669      ;
1428
; 0.402  ; clk_div:clkdiv_inst|count_357Mhz[3]                         ; clk_div:clkdiv_inst|count_357Mhz[3]                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.081      ; 0.669      ;
1429
; 0.402  ; clk_div:clkdiv_inst|clock_357Mhz_int                        ; clk_div:clkdiv_inst|clock_357Mhz_int                        ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.081      ; 0.669      ;
1430
; 0.402  ; LCD:lcd_inst|next_command.line2                             ; LCD:lcd_inst|next_command.line2                             ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.081      ; 0.669      ;
1431
; 0.402  ; LCD:lcd_inst|next_command.mode_set                          ; LCD:lcd_inst|next_command.mode_set                          ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.081      ; 0.669      ;
1432
; 0.402  ; LCD:lcd_inst|data_bus_value[7]                              ; LCD:lcd_inst|data_bus_value[7]                              ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.081      ; 0.669      ;
1433
; 0.403  ; LCD:lcd_inst|next_command.reset2                            ; LCD:lcd_inst|next_command.reset2                            ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.669      ;
1434
; 0.403  ; LCD:lcd_inst|next_command.reset3                            ; LCD:lcd_inst|next_command.reset3                            ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.669      ;
1435
; 0.403  ; LCD:lcd_inst|next_command.func_set                          ; LCD:lcd_inst|next_command.func_set                          ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.669      ;
1436
; 0.403  ; LCD:lcd_inst|next_command.display_off                       ; LCD:lcd_inst|next_command.display_off                       ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.669      ;
1437
; 0.403  ; LCD:lcd_inst|next_command.display_clear                     ; LCD:lcd_inst|next_command.display_clear                     ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.669      ;
1438
; 0.403  ; LCD:lcd_inst|next_command.display_on                        ; LCD:lcd_inst|next_command.display_on                        ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.669      ;
1439
; 0.403  ; LCD:lcd_inst|data_bus_value[0]                              ; LCD:lcd_inst|data_bus_value[0]                              ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.669      ;
1440
; 0.403  ; LCD:lcd_inst|data_bus_value[6]                              ; LCD:lcd_inst|data_bus_value[6]                              ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.669      ;
1441
; 0.403  ; LCD:lcd_inst|LCD_RS                                         ; LCD:lcd_inst|LCD_RS                                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.669      ;
1442
; 0.403  ; LCD:lcd_inst|LCD_ON                                         ; LCD:lcd_inst|LCD_ON                                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.669      ;
1443
; 0.407  ; clk_div:clkdiv_inst|count_10Mhz[0]                          ; clk_div:clkdiv_inst|count_10Mhz[0]                          ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.081      ; 0.674      ;
1444
; 0.407  ; clk_div:clkdiv_inst|count_357Mhz[0]                         ; clk_div:clkdiv_inst|count_357Mhz[0]                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.081      ; 0.674      ;
1445
; 0.408  ; LCD:lcd_inst|state.drop_LCD_EN                              ; LCD:lcd_inst|state.drop_LCD_EN                              ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.674      ;
1446
; 0.428  ; LCD:lcd_inst|clk_count_400hz[19]                            ; LCD:lcd_inst|clk_count_400hz[19]                            ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.694      ;
1447
; 0.436  ; LCD:lcd_inst|next_command.print_string                      ; LCD:lcd_inst|state.print_string                             ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.702      ;
1448
; 0.437  ; LCD:lcd_inst|state.reset2                                   ; LCD:lcd_inst|next_command.reset3                            ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.703      ;
1449
; 0.437  ; LCD:lcd_inst|state.func_set                                 ; LCD:lcd_inst|next_command.display_off                       ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.703      ;
1450
; 0.442  ; clk_div:clkdiv_inst|count_357Mhz[3]                         ; clk_div:clkdiv_inst|count_357Mhz[0]                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.081      ; 0.709      ;
1451
; 0.445  ; clk_div:clkdiv_inst|count_357Mhz[1]                         ; clk_div:clkdiv_inst|count_357Mhz[2]                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.081      ; 0.712      ;
1452
; 0.445  ; clk_div:clkdiv_inst|count_357Mhz[3]                         ; clk_div:clkdiv_inst|clock_357Mhz_int                        ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.081      ; 0.712      ;
1453
; 0.449  ; clk_div:clkdiv_inst|count_357Mhz[0]                         ; clk_div:clkdiv_inst|count_357Mhz[1]                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.081      ; 0.716      ;
1454
; 0.450  ; \random:rand_temp[4]                                        ; \random:rand_temp[5]                                        ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.081      ; 0.717      ;
1455
; 0.451  ; \random:rand_temp[11]                                       ; \random:rand_temp[12]                                       ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.081      ; 0.718      ;
1456
; 0.452  ; \random:rand_temp[3]                                        ; \random:rand_temp[4]                                        ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.081      ; 0.719      ;
1457
; 0.452  ; \random:rand_temp[0]                                        ; \random:rand_temp[1]                                        ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.081      ; 0.719      ;
1458
; 0.452  ; \random:rand_temp[1]                                        ; \random:rand_temp[2]                                        ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.081      ; 0.719      ;
1459
; 0.453  ; LCD:lcd_inst|state.display_clear                            ; LCD:lcd_inst|next_command.display_on                        ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.719      ;
1460
; 0.458  ; LCD:lcd_inst|state.display_off                              ; LCD:lcd_inst|next_command.display_clear                     ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.724      ;
1461
; 0.479  ; ps2_read                                                    ; ps2_ascii_reg1[3]                                           ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.081      ; 0.746      ;
1462
; 0.480  ; ps2_read                                                    ; ps2_ascii_reg1[0]                                           ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.081      ; 0.747      ;
1463
; 0.498  ; clk_div:clkdiv_inst|clock_25Mhz_int                         ; clk_div:clkdiv_inst|clock_25MHz                             ; clk_div:clkdiv_inst|clock_25Mhz_int                         ; CLOCK_50    ; -0.500       ; 3.048      ; 3.484      ;
1464
; 0.504  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|LCD_ON                                         ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; -0.500       ; 3.042      ; 3.484      ;
1465
; 0.536  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|state.reset1                                   ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; -0.500       ; 3.040      ; 3.514      ;
1466
; 0.536  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|next_command.line2                             ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; -0.500       ; 3.040      ; 3.514      ;
1467
; 0.536  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|state.line2                                    ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; -0.500       ; 3.040      ; 3.514      ;
1468
; 0.536  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|next_command.mode_set                          ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; -0.500       ; 3.040      ; 3.514      ;
1469
; 0.536  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|state.mode_set                                 ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; -0.500       ; 3.040      ; 3.514      ;
1470
; 0.536  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|data_bus_value[7]                              ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; -0.500       ; 3.040      ; 3.514      ;
1471
; 0.541  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|next_command.return_home                       ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; -0.500       ; 3.040      ; 3.519      ;
1472
; 0.546  ; LCD:lcd_inst|next_command.reset2                            ; LCD:lcd_inst|state.reset2                                   ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.812      ;
1473
; 0.552  ; LCD:lcd_inst|next_command.mode_set                          ; LCD:lcd_inst|state.mode_set                                 ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.081      ; 0.819      ;
1474
; 0.555  ; LCD:lcd_inst|next_command.reset3                            ; LCD:lcd_inst|state.reset3                                   ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.080      ; 0.821      ;
1475
+--------+-------------------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+-------------+--------------+------------+------------+
1476
 
1477
 
1478
+--------------------------------------------------------------------------------------------------------------------------------------+
1479
; Slow 1200mV 85C Model Hold: 'T80se:z80_inst|MREQ_n'                                                                                  ;
1480
+-------+-----------------------------+----------------+--------------+-----------------------+--------------+------------+------------+
1481
; Slack ; From Node                   ; To Node        ; Launch Clock ; Latch Clock           ; Relationship ; Clock Skew ; Data Delay ;
1482
+-------+-----------------------------+----------------+--------------+-----------------------+--------------+------------+------------+
1483
; 0.033 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[27][4] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.673      ; 2.236      ;
1484
; 0.037 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[19][6] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.810      ; 2.377      ;
1485
; 0.105 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[19][7] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.817      ; 2.452      ;
1486
; 0.152 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[19][1] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.820      ; 2.502      ;
1487
; 0.193 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[19][2] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.637      ; 2.360      ;
1488
; 0.305 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[19][3] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.636      ; 2.471      ;
1489
; 0.307 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[27][6] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.540      ; 2.377      ;
1490
; 0.312 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[19][0] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.804      ; 2.646      ;
1491
; 0.333 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[27][5] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.679      ; 2.542      ;
1492
; 0.373 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[27][7] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.547      ; 2.450      ;
1493
; 0.384 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[19][5] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.626      ; 2.540      ;
1494
; 0.395 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[23][3] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.977      ; 1.902      ;
1495
; 0.416 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[27][1] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.550      ; 2.496      ;
1496
; 0.456 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[19][4] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.621      ; 2.607      ;
1497
; 0.460 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[27][3] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.552      ; 2.542      ;
1498
; 0.479 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[23][5] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.967      ; 1.976      ;
1499
; 0.516 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[27][0] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.548      ; 2.594      ;
1500
; 0.561 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[31][1] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.737      ; 2.828      ;
1501
; 0.563 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[23][0] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.794      ; 1.887      ;
1502
; 0.585 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[28][3] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.313      ; 2.428      ;
1503
; 0.598 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[28][1] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.131      ; 2.259      ;
1504
; 0.620 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[20][5] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.433      ; 2.583      ;
1505
; 0.620 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[20][3] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.456      ; 2.606      ;
1506
; 0.629 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[7][3]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.188      ; 1.347      ;
1507
; 0.640 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[14][6] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.739      ; 1.909      ;
1508
; 0.647 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[28][2] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.299      ; 2.476      ;
1509
; 0.657 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[1][7]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 0.621      ; 0.808      ;
1510
; 0.665 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[27][2] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.515      ; 2.710      ;
1511
; 0.669 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[31][2] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.704      ; 2.903      ;
1512
; 0.673 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[15][3] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.470      ; 1.673      ;
1513
; 0.681 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[6][6]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.190      ; 1.401      ;
1514
; 0.686 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[6][2]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.238      ; 1.454      ;
1515
; 0.688 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[3][1]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 0.437      ; 0.655      ;
1516
; 0.693 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[28][0] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.173      ; 2.396      ;
1517
; 0.698 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[31][6] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.726      ; 2.954      ;
1518
; 0.700 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[28][5] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.146      ; 2.376      ;
1519
; 0.709 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[17][5] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.524      ; 2.763      ;
1520
; 0.709 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[12][7] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.543      ; 1.782      ;
1521
; 0.713 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[23][7] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.792      ; 2.035      ;
1522
; 0.715 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[30][3] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.046      ; 2.291      ;
1523
; 0.717 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[9][1]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 0.802      ; 1.049      ;
1524
; 0.717 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[4][4]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.136      ; 1.383      ;
1525
; 0.719 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[1][1]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.029      ; 1.278      ;
1526
; 0.728 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[9][3]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 0.965      ; 1.223      ;
1527
; 0.735 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[25][3] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.549      ; 2.814      ;
1528
; 0.744 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[23][4] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.962      ; 2.236      ;
1529
; 0.745 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[1][5]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.033      ; 1.308      ;
1530
; 0.757 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[15][7] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.526      ; 1.813      ;
1531
; 0.758 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[31][4] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.679      ; 2.967      ;
1532
; 0.762 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[15][6] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.617      ; 1.909      ;
1533
; 0.767 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[22][4] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.816      ; 2.113      ;
1534
; 0.774 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[31][0] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.746      ; 3.050      ;
1535
; 0.777 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[12][3] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.322      ; 1.629      ;
1536
; 0.781 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[17][3] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.506      ; 2.817      ;
1537
; 0.781 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[12][1] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.465      ; 1.776      ;
1538
; 0.784 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[18][5] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.230      ; 2.544      ;
1539
; 0.786 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[17][7] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.522      ; 2.838      ;
1540
; 0.791 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[20][0] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.422      ; 2.743      ;
1541
; 0.792 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[28][4] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.148      ; 2.470      ;
1542
; 0.806 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[15][0] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.521      ; 1.857      ;
1543
; 0.808 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[0][1]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 0.939      ; 1.277      ;
1544
; 0.813 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[21][4] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.062      ; 2.405      ;
1545
; 0.818 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[9][6]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.083      ; 1.431      ;
1546
; 0.818 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[14][1] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.428      ; 1.776      ;
1547
; 0.822 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[1][0]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.025      ; 1.377      ;
1548
; 0.822 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[12][0] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.508      ; 1.860      ;
1549
; 0.830 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[17][0] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.498      ; 2.858      ;
1550
; 0.831 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[16][5] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.862      ; 3.223      ;
1551
; 0.832 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[28][6] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.145      ; 2.507      ;
1552
; 0.833 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[6][0]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.429      ; 1.792      ;
1553
; 0.835 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[31][3] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.718      ; 3.083      ;
1554
; 0.840 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[31][7] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.734      ; 3.104      ;
1555
; 0.843 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[1][4]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.029      ; 1.402      ;
1556
; 0.843 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[31][5] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.751      ; 3.124      ;
1557
; 0.843 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[16][7] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.697      ; 3.070      ;
1558
; 0.844 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[1][6]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.022      ; 1.396      ;
1559
; 0.849 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[23][2] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.979      ; 2.358      ;
1560
; 0.849 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[6][1]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.401      ; 1.780      ;
1561
; 0.853 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[23][6] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.806      ; 2.189      ;
1562
; 0.857 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[9][4]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.028      ; 1.415      ;
1563
; 0.857 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[16][1] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.851      ; 3.238      ;
1564
; 0.858 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[1][2]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.022      ; 1.410      ;
1565
; 0.858 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[7][4]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 0.988      ; 1.376      ;
1566
; 0.858 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[6][3]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.249      ; 1.637      ;
1567
; 0.859 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[14][7] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.392      ; 1.781      ;
1568
; 0.860 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[7][1]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.388      ; 1.778      ;
1569
; 0.864 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[1][3]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 0.404      ; 0.798      ;
1570
; 0.873 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[16][3] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.868      ; 3.271      ;
1571
; 0.875 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[22][3] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.816      ; 2.221      ;
1572
; 0.881 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[16][0] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.706      ; 3.117      ;
1573
; 0.882 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[12][6] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.720      ; 2.132      ;
1574
; 0.896 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[28][7] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.151      ; 2.577      ;
1575
; 0.897 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[21][6] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.249      ; 2.676      ;
1576
; 0.899 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[14][3] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.244      ; 1.673      ;
1577
; 0.900 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[17][2] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.513      ; 2.943      ;
1578
; 0.909 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[9][0]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.218      ; 1.657      ;
1579
; 0.911 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[0][7]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 0.366      ; 0.807      ;
1580
; 0.912 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[6][5]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.402      ; 1.844      ;
1581
; 0.917 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[0][3]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 0.353      ; 0.800      ;
1582
; 0.918 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[17][6] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.519      ; 2.967      ;
1583
+-------+-----------------------------+----------------+--------------+-----------------------+--------------+------------+------------+
1584
 
1585
 
1586
+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
1587
; Slow 1200mV 85C Model Hold: 'clk_div:clkdiv_inst|clock_100Khz_int'                                                                                                                                       ;
1588
+-------+-------------------------------------+-------------------------------------+--------------------------------------+--------------------------------------+--------------+------------+------------+
1589
; Slack ; From Node                           ; To Node                             ; Launch Clock                         ; Latch Clock                          ; Relationship ; Clock Skew ; Data Delay ;
1590
+-------+-------------------------------------+-------------------------------------+--------------------------------------+--------------------------------------+--------------+------------+------------+
1591
; 0.079 ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int  ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.000        ; 2.371      ; 2.868      ;
1592
; 0.440 ; clk_div:clkdiv_inst|count_10Khz[2]  ; clk_div:clkdiv_inst|count_10Khz[2]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.000        ; 0.043      ; 0.669      ;
1593
; 0.440 ; clk_div:clkdiv_inst|count_10Khz[1]  ; clk_div:clkdiv_inst|count_10Khz[1]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.000        ; 0.043      ; 0.669      ;
1594
; 0.445 ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.000        ; 0.043      ; 0.674      ;
1595
; 0.469 ; clk_div:clkdiv_inst|count_10Khz[1]  ; clk_div:clkdiv_inst|count_10Khz[2]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.000        ; 0.047      ; 0.702      ;
1596
; 0.558 ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int  ; clk_div:clkdiv_inst|clock_100Khz_int ; -0.500       ; 2.371      ; 2.847      ;
1597
; 0.638 ; clk_div:clkdiv_inst|count_10Khz[2]  ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.000        ; 0.047      ; 0.871      ;
1598
; 0.638 ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|count_10Khz[1]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.000        ; 0.047      ; 0.871      ;
1599
; 0.688 ; clk_div:clkdiv_inst|count_10Khz[1]  ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.000        ; 0.047      ; 0.921      ;
1600
; 0.701 ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|count_10Khz[2]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.000        ; 0.047      ; 0.934      ;
1601
; 0.806 ; clk_div:clkdiv_inst|count_10Khz[1]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.000        ; 0.047      ; 1.039      ;
1602
; 0.937 ; clk_div:clkdiv_inst|count_10Khz[2]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.000        ; 0.047      ; 1.170      ;
1603
; 1.086 ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.000        ; 0.047      ; 1.319      ;
1604
+-------+-------------------------------------+-------------------------------------+--------------------------------------+--------------------------------------+--------------+------------+------------+
1605
 
1606
 
1607
+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
1608
; Slow 1200mV 85C Model Hold: 'clk_div:clkdiv_inst|clock_10Khz_int'                                                                                                                                    ;
1609
+-------+------------------------------------+------------------------------------+-------------------------------------+-------------------------------------+--------------+------------+------------+
1610
; Slack ; From Node                          ; To Node                            ; Launch Clock                        ; Latch Clock                         ; Relationship ; Clock Skew ; Data Delay ;
1611
+-------+------------------------------------+------------------------------------+-------------------------------------+-------------------------------------+--------------+------------+------------+
1612
; 0.098 ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int  ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.000        ; 0.919      ; 1.435      ;
1613
; 0.440 ; clk_div:clkdiv_inst|count_1Khz[2]  ; clk_div:clkdiv_inst|count_1Khz[2]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.000        ; 0.043      ; 0.669      ;
1614
; 0.440 ; clk_div:clkdiv_inst|count_1Khz[1]  ; clk_div:clkdiv_inst|count_1Khz[1]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.000        ; 0.043      ; 0.669      ;
1615
; 0.445 ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.000        ; 0.043      ; 0.674      ;
1616
; 0.469 ; clk_div:clkdiv_inst|count_1Khz[1]  ; clk_div:clkdiv_inst|count_1Khz[2]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.000        ; 0.047      ; 0.702      ;
1617
; 0.636 ; clk_div:clkdiv_inst|count_1Khz[2]  ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.000        ; 0.047      ; 0.869      ;
1618
; 0.641 ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int  ; clk_div:clkdiv_inst|clock_10Khz_int ; -0.500       ; 0.919      ; 1.478      ;
1619
; 0.644 ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|count_1Khz[1]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.000        ; 0.047      ; 0.877      ;
1620
; 0.689 ; clk_div:clkdiv_inst|count_1Khz[1]  ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.000        ; 0.047      ; 0.922      ;
1621
; 0.704 ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|count_1Khz[2]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.000        ; 0.047      ; 0.937      ;
1622
; 0.808 ; clk_div:clkdiv_inst|count_1Khz[1]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.000        ; 0.047      ; 1.041      ;
1623
; 0.937 ; clk_div:clkdiv_inst|count_1Khz[2]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.000        ; 0.047      ; 1.170      ;
1624
; 1.094 ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.000        ; 0.047      ; 1.327      ;
1625
+-------+------------------------------------+------------------------------------+-------------------------------------+-------------------------------------+--------------+------------+------------+
1626
 
1627
 
1628
+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
1629
; Slow 1200mV 85C Model Hold: 'clk_div:clkdiv_inst|clock_1Mhz_int'                                                                                                                                         ;
1630
+-------+--------------------------------------+--------------------------------------+--------------------------------------+------------------------------------+--------------+------------+------------+
1631
; Slack ; From Node                            ; To Node                              ; Launch Clock                         ; Latch Clock                        ; Relationship ; Clock Skew ; Data Delay ;
1632
+-------+--------------------------------------+--------------------------------------+--------------------------------------+------------------------------------+--------------+------------+------------+
1633
; 0.189 ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.000        ; 1.064      ; 1.671      ;
1634
; 0.440 ; clk_div:clkdiv_inst|count_100Khz[2]  ; clk_div:clkdiv_inst|count_100Khz[2]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.000        ; 0.043      ; 0.669      ;
1635
; 0.440 ; clk_div:clkdiv_inst|count_100Khz[1]  ; clk_div:clkdiv_inst|count_100Khz[1]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.000        ; 0.043      ; 0.669      ;
1636
; 0.445 ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.000        ; 0.043      ; 0.674      ;
1637
; 0.468 ; clk_div:clkdiv_inst|count_100Khz[1]  ; clk_div:clkdiv_inst|count_100Khz[2]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.000        ; 0.048      ; 0.702      ;
1638
; 0.475 ; clk_div:clkdiv_inst|count_100Khz[2]  ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.000        ; 0.048      ; 0.709      ;
1639
; 0.631 ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|count_100Khz[1]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.000        ; 0.048      ; 0.865      ;
1640
; 0.687 ; clk_div:clkdiv_inst|count_100Khz[1]  ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.000        ; 0.048      ; 0.921      ;
1641
; 0.725 ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_1Mhz_int ; -0.500       ; 1.064      ; 1.707      ;
1642
; 0.806 ; clk_div:clkdiv_inst|count_100Khz[1]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.000        ; 0.048      ; 1.040      ;
1643
; 0.851 ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|count_100Khz[2]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.000        ; 0.048      ; 1.085      ;
1644
; 1.101 ; clk_div:clkdiv_inst|count_100Khz[2]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.000        ; 0.048      ; 1.335      ;
1645
; 1.240 ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.000        ; 0.048      ; 1.474      ;
1646
+-------+--------------------------------------+--------------------------------------+--------------------------------------+------------------------------------+--------------+------------+------------+
1647
 
1648
 
1649
+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
1650
; Slow 1200mV 85C Model Hold: 'clk_div:clkdiv_inst|clock_25MHz'                                                                                                                                                                                                                                                                     ;
1651
+-------+----------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------------+---------------------------------+---------------------------------+--------------+------------+------------+
1652
; Slack ; From Node                                                                                    ; To Node                                                                                                       ; Launch Clock                    ; Latch Clock                     ; Relationship ; Clock Skew ; Data Delay ;
1653
+-------+----------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------------+---------------------------------+---------------------------------+--------------+------------+------------+
1654
; 0.343 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[1]                                         ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a0~portb_address_reg0   ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.375      ; 0.940      ;
1655
; 0.402 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[8]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[8]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.081      ; 0.669      ;
1656
; 0.402 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[9]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[9]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.081      ; 0.669      ;
1657
; 0.402 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[4]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[4]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.081      ; 0.669      ;
1658
; 0.402 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[5]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[5]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.081      ; 0.669      ;
1659
; 0.402 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[6]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[6]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.081      ; 0.669      ;
1660
; 0.402 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[3]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[3]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.081      ; 0.669      ;
1661
; 0.402 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[2]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[2]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.081      ; 0.669      ;
1662
; 0.402 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[7]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[7]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.081      ; 0.669      ;
1663
; 0.429 ; video:video_inst|VGA_SYNC:vga_sync_inst|horiz_sync                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|horiz_sync_out                                                        ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.081      ; 0.696      ;
1664
; 0.455 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[0]                                         ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a4~portb_address_reg0   ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.374      ; 1.051      ;
1665
; 0.466 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[2]                                         ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a4~portb_address_reg0   ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.374      ; 1.062      ;
1666
; 0.602 ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|address_reg_a[0] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|out_address_reg_a[0]              ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.081      ; 0.869      ;
1667
; 0.622 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[7]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[7]                                                          ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.082      ; 0.890      ;
1668
; 0.649 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[4]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[4]                                                       ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.081      ; 0.916      ;
1669
; 0.653 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[1]                                         ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a4~portb_address_reg0   ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.361      ; 1.236      ;
1670
; 0.660 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[9]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[9]                                                       ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.081      ; 0.927      ;
1671
; 0.661 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[4]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[4]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.081      ; 0.928      ;
1672
; 0.666 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[6]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[6]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.081      ; 0.933      ;
1673
; 0.671 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[1]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[1]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.081      ; 0.938      ;
1674
; 0.677 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[2]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[2]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.081      ; 0.944      ;
1675
; 0.680 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[3]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[3]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.081      ; 0.947      ;
1676
; 0.704 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[2]                                         ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a0~portb_address_reg0   ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.388      ; 1.314      ;
1677
; 0.714 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[0]                                         ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a0~portb_address_reg0   ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.388      ; 1.324      ;
1678
; 0.743 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[2]                                      ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[2]                                                           ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.081      ; 1.010      ;
1679
; 0.747 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[6]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a3~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.359      ; 1.328      ;
1680
; 0.770 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[6]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a4~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.357      ; 1.349      ;
1681
; 0.771 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[3]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a4~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.358      ; 1.351      ;
1682
; 0.785 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[6]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a6~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.359      ; 1.366      ;
1683
; 0.787 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[0]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[0]                                                       ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.082      ; 1.055      ;
1684
; 0.793 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[3]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a3~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.360      ; 1.375      ;
1685
; 0.794 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[4]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[4]                                                          ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.082      ; 1.062      ;
1686
; 0.798 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[6]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a1~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.356      ; 1.376      ;
1687
; 0.800 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[5]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[5]                                                          ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.082      ; 1.068      ;
1688
; 0.803 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[5]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a6~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.360      ; 1.385      ;
1689
; 0.825 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[5]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a3~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.360      ; 1.407      ;
1690
; 0.828 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[5]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[5]                                                       ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.081      ; 1.095      ;
1691
; 0.830 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[3]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a6~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.360      ; 1.412      ;
1692
; 0.848 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[9]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|vert_sync                                                             ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.083      ; 1.117      ;
1693
; 0.890 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[4]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|vert_sync                                                             ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.082      ; 1.158      ;
1694
; 0.915 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[6]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[6]                                                       ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.082      ; 1.183      ;
1695
; 0.915 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[6]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|horiz_sync                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.082      ; 1.183      ;
1696
; 0.933 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[2]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[2]                                                          ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.069      ; 1.188      ;
1697
; 0.983 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[5]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[6]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.081      ; 1.250      ;
1698
; 0.989 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[1]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[2]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.081      ; 1.256      ;
1699
; 0.993 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[6]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[7]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.081      ; 1.260      ;
1700
; 0.993 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[4]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[6]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.081      ; 1.260      ;
1701
; 0.994 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[7]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[7]                                                       ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.081      ; 1.261      ;
1702
; 0.997 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[3]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[4]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.081      ; 1.264      ;
1703
; 0.999 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[0]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[1]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.081      ; 1.266      ;
1704
; 1.004 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[2]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[3]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.081      ; 1.271      ;
1705
; 1.004 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[0]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[2]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.081      ; 1.271      ;
1706
; 1.009 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[2]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[4]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.081      ; 1.276      ;
1707
; 1.010 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[9]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[9]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.081      ; 1.277      ;
1708
; 1.011 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[8]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[8]                                                          ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.083      ; 1.280      ;
1709
; 1.013 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[5]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[5]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.081      ; 1.280      ;
1710
; 1.019 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[4]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a3~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.360      ; 1.601      ;
1711
; 1.025 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[3]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[3]                                                          ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.082      ; 1.293      ;
1712
; 1.031 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[0]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[0]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.081      ; 1.298      ;
1713
; 1.033 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[6]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[6]                                                          ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.082      ; 1.301      ;
1714
; 1.039 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[8]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[8]                                                       ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.081      ; 1.306      ;
1715
; 1.050 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[7]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[7]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.081      ; 1.317      ;
1716
; 1.060 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[1]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[1]                                                          ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.083      ; 1.329      ;
1717
; 1.067 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[4]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a6~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.360      ; 1.649      ;
1718
; 1.072 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[1]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[1]                                                       ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.082      ; 1.340      ;
1719
; 1.079 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[3]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[3]                                                       ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.081      ; 1.346      ;
1720
; 1.084 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[2]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[2]                                                       ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.082      ; 1.352      ;
1721
; 1.102 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[2]                                      ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[1]                                                           ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.081      ; 1.369      ;
1722
; 1.104 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[2]                                      ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[3]                                                           ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.081      ; 1.371      ;
1723
; 1.104 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[5]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[7]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.081      ; 1.371      ;
1724
; 1.105 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[2]                                      ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[0]                                                           ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.081      ; 1.372      ;
1725
; 1.106 ; video:video_inst|VGA_SYNC:vga_sync_inst|video_on_h                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[2]                                                           ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.082      ; 1.374      ;
1726
; 1.110 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[1]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[3]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.081      ; 1.377      ;
1727
; 1.111 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[5]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a4~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.358      ; 1.691      ;
1728
; 1.114 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[4]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[7]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.081      ; 1.381      ;
1729
; 1.115 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[1]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[4]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.081      ; 1.382      ;
1730
; 1.117 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[0]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|horiz_sync                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.082      ; 1.385      ;
1731
; 1.123 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[3]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[6]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.081      ; 1.390      ;
1732
; 1.125 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[0]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[3]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.081      ; 1.392      ;
1733
; 1.130 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[0]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[4]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.081      ; 1.397      ;
1734
; 1.134 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[8]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[8]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.081      ; 1.401      ;
1735
; 1.135 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[2]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[6]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.081      ; 1.402      ;
1736
; 1.136 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[3]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a1~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.357      ; 1.715      ;
1737
; 1.140 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[5]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a1~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.357      ; 1.719      ;
1738
; 1.191 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[0]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[0]                                                          ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.070      ; 1.447      ;
1739
; 1.216 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[4]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a2~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.344      ; 1.782      ;
1740
; 1.225 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[3]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a7~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.343      ; 1.790      ;
1741
; 1.238 ; video:video_inst|VGA_SYNC:vga_sync_inst|vert_sync                                            ; video:video_inst|VGA_SYNC:vga_sync_inst|vert_sync_out                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.088      ; 1.512      ;
1742
; 1.241 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[1]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[6]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.081      ; 1.508      ;
1743
; 1.244 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[3]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[7]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.081      ; 1.511      ;
1744
; 1.244 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[2]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|horiz_sync                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.082      ; 1.512      ;
1745
; 1.255 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[3]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a0~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.344      ; 1.821      ;
1746
; 1.256 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[0]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[6]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.081      ; 1.523      ;
1747
; 1.256 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[2]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[7]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.081      ; 1.523      ;
1748
; 1.260 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[3]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a5~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.342      ; 1.824      ;
1749
; 1.263 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[3]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a2~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.344      ; 1.829      ;
1750
; 1.266 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[4]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a0~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.344      ; 1.832      ;
1751
; 1.280 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[5]                                         ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[2]                                                           ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.083      ; 1.549      ;
1752
; 1.302 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[5]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a5~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.342      ; 1.866      ;
1753
; 1.308 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[4]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a5~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.342      ; 1.872      ;
1754
+-------+----------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------------+---------------------------------+---------------------------------+--------------+------------+------------+
1755
 
1756
 
1757
+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
1758
; Slow 1200mV 85C Model Hold: 'clk_div:clkdiv_inst|clock_1Khz_int'                                                                                                                                     ;
1759
+-------+-------------------------------------+-------------------------------------+------------------------------------+------------------------------------+--------------+------------+------------+
1760
; Slack ; From Node                           ; To Node                             ; Launch Clock                       ; Latch Clock                        ; Relationship ; Clock Skew ; Data Delay ;
1761
+-------+-------------------------------------+-------------------------------------+------------------------------------+------------------------------------+--------------+------------+------------+
1762
; 0.440 ; clk_div:clkdiv_inst|count_100hz[2]  ; clk_div:clkdiv_inst|count_100hz[2]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 0.000        ; 0.043      ; 0.669      ;
1763
; 0.440 ; clk_div:clkdiv_inst|count_100hz[1]  ; clk_div:clkdiv_inst|count_100hz[1]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 0.000        ; 0.043      ; 0.669      ;
1764
; 0.440 ; clk_div:clkdiv_inst|clock_100hz_int ; clk_div:clkdiv_inst|clock_100hz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 0.000        ; 0.043      ; 0.669      ;
1765
; 0.445 ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 0.000        ; 0.043      ; 0.674      ;
1766
; 0.464 ; clk_div:clkdiv_inst|count_100hz[2]  ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 0.000        ; 0.046      ; 0.696      ;
1767
; 0.471 ; clk_div:clkdiv_inst|count_100hz[1]  ; clk_div:clkdiv_inst|count_100hz[2]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 0.000        ; 0.046      ; 0.703      ;
1768
; 0.473 ; clk_div:clkdiv_inst|count_100hz[1]  ; clk_div:clkdiv_inst|clock_100hz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 0.000        ; 0.046      ; 0.705      ;
1769
; 0.641 ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|count_100hz[1]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 0.000        ; 0.046      ; 0.873      ;
1770
; 0.690 ; clk_div:clkdiv_inst|count_100hz[1]  ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 0.000        ; 0.046      ; 0.922      ;
1771
; 0.701 ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|count_100hz[2]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 0.000        ; 0.046      ; 0.933      ;
1772
; 0.743 ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|clock_100hz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 0.000        ; 0.046      ; 0.975      ;
1773
; 0.753 ; clk_div:clkdiv_inst|count_100hz[2]  ; clk_div:clkdiv_inst|clock_100hz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 0.000        ; 0.046      ; 0.985      ;
1774
+-------+-------------------------------------+-------------------------------------+------------------------------------+------------------------------------+--------------+------------+------------+
1775
 
1776
 
1777
+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
1778
; Slow 1200mV 85C Model Hold: 'clk_div:clkdiv_inst|clock_100Hz'                                                                                                                                                                     ;
1779
+-------+----------------------------------------------------+-----------------------------+-------------------------------------------------------------+---------------------------------+--------------+------------+------------+
1780
; Slack ; From Node                                          ; To Node                     ; Launch Clock                                                ; Latch Clock                     ; Relationship ; Clock Skew ; Data Delay ;
1781
+-------+----------------------------------------------------+-----------------------------+-------------------------------------------------------------+---------------------------------+--------------+------------+------------+
1782
; 0.454 ; ps2kbd:ps2_kbd_inst|caps[1]                        ; ps2kbd:ps2_kbd_inst|caps[0] ; clk_div:clkdiv_inst|clock_100Hz                             ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 0.046      ; 0.686      ;
1783
; 0.667 ; ps2kbd:ps2_kbd_inst|caps[0]                        ; ps2kbd:ps2_kbd_inst|caps[1] ; clk_div:clkdiv_inst|clock_100Hz                             ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 0.046      ; 0.899      ;
1784
; 5.120 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; -2.892     ; 2.424      ;
1785
; 5.120 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; -2.892     ; 2.424      ;
1786
; 5.155 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; -2.894     ; 2.457      ;
1787
; 5.155 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; -2.894     ; 2.457      ;
1788
; 5.218 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; -2.892     ; 2.522      ;
1789
; 5.218 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; -2.892     ; 2.522      ;
1790
; 5.264 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; -2.892     ; 2.568      ;
1791
; 5.264 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; -2.892     ; 2.568      ;
1792
; 5.268 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; -2.893     ; 2.571      ;
1793
; 5.268 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; -2.893     ; 2.571      ;
1794
; 5.293 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; -2.892     ; 2.597      ;
1795
; 5.293 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; -2.892     ; 2.597      ;
1796
; 5.322 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; -2.892     ; 2.626      ;
1797
; 5.322 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; -2.892     ; 2.626      ;
1798
; 5.748 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; -2.892     ; 3.052      ;
1799
; 5.748 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; -2.892     ; 3.052      ;
1800
+-------+----------------------------------------------------+-----------------------------+-------------------------------------------------------------+---------------------------------+--------------+------------+------------+
1801
 
1802
 
1803
+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
1804
; Slow 1200mV 85C Model Hold: 'clk_div:clkdiv_inst|clock_25Mhz_int'                                                                                                                                   ;
1805
+-------+-----------------------------------+------------------------------------+-------------------------------------+-------------------------------------+--------------+------------+------------+
1806
; Slack ; From Node                         ; To Node                            ; Launch Clock                        ; Latch Clock                         ; Relationship ; Clock Skew ; Data Delay ;
1807
+-------+-----------------------------------+------------------------------------+-------------------------------------+-------------------------------------+--------------+------------+------------+
1808
; 0.472 ; clk_div:clkdiv_inst|count_1Mhz[4] ; clk_div:clkdiv_inst|count_1Mhz[4]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.043      ; 0.701      ;
1809
; 0.477 ; clk_div:clkdiv_inst|count_1Mhz[4] ; clk_div:clkdiv_inst|clock_1Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.048      ; 0.711      ;
1810
; 0.675 ; clk_div:clkdiv_inst|count_1Mhz[1] ; clk_div:clkdiv_inst|count_1Mhz[1]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.043      ; 0.904      ;
1811
; 0.686 ; clk_div:clkdiv_inst|count_1Mhz[2] ; clk_div:clkdiv_inst|count_1Mhz[2]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.043      ; 0.915      ;
1812
; 0.693 ; clk_div:clkdiv_inst|count_1Mhz[0] ; clk_div:clkdiv_inst|count_1Mhz[0]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.043      ; 0.922      ;
1813
; 0.697 ; clk_div:clkdiv_inst|count_1Mhz[3] ; clk_div:clkdiv_inst|count_1Mhz[3]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.043      ; 0.926      ;
1814
; 0.725 ; clk_div:clkdiv_inst|count_1Mhz[2] ; clk_div:clkdiv_inst|clock_1Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.048      ; 0.959      ;
1815
; 0.781 ; clk_div:clkdiv_inst|count_1Mhz[3] ; clk_div:clkdiv_inst|clock_1Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.048      ; 1.015      ;
1816
; 0.987 ; clk_div:clkdiv_inst|count_1Mhz[1] ; clk_div:clkdiv_inst|count_1Mhz[2]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.048      ; 1.221      ;
1817
; 0.996 ; clk_div:clkdiv_inst|count_1Mhz[0] ; clk_div:clkdiv_inst|count_1Mhz[1]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.048      ; 1.230      ;
1818
; 1.001 ; clk_div:clkdiv_inst|count_1Mhz[0] ; clk_div:clkdiv_inst|count_1Mhz[2]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.048      ; 1.235      ;
1819
; 1.008 ; clk_div:clkdiv_inst|count_1Mhz[2] ; clk_div:clkdiv_inst|count_1Mhz[3]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.048      ; 1.242      ;
1820
; 1.009 ; clk_div:clkdiv_inst|count_1Mhz[3] ; clk_div:clkdiv_inst|count_1Mhz[4]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.048      ; 1.243      ;
1821
; 1.013 ; clk_div:clkdiv_inst|count_1Mhz[2] ; clk_div:clkdiv_inst|count_1Mhz[4]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.048      ; 1.247      ;
1822
; 1.108 ; clk_div:clkdiv_inst|count_1Mhz[1] ; clk_div:clkdiv_inst|count_1Mhz[3]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.048      ; 1.342      ;
1823
; 1.113 ; clk_div:clkdiv_inst|count_1Mhz[1] ; clk_div:clkdiv_inst|count_1Mhz[4]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.048      ; 1.347      ;
1824
; 1.122 ; clk_div:clkdiv_inst|count_1Mhz[0] ; clk_div:clkdiv_inst|count_1Mhz[3]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.048      ; 1.356      ;
1825
; 1.127 ; clk_div:clkdiv_inst|count_1Mhz[0] ; clk_div:clkdiv_inst|count_1Mhz[4]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.048      ; 1.361      ;
1826
; 1.185 ; clk_div:clkdiv_inst|count_1Mhz[4] ; clk_div:clkdiv_inst|count_1Mhz[3]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.048      ; 1.419      ;
1827
; 1.185 ; clk_div:clkdiv_inst|count_1Mhz[4] ; clk_div:clkdiv_inst|count_1Mhz[2]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.048      ; 1.419      ;
1828
; 1.185 ; clk_div:clkdiv_inst|count_1Mhz[4] ; clk_div:clkdiv_inst|count_1Mhz[1]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.048      ; 1.419      ;
1829
; 1.185 ; clk_div:clkdiv_inst|count_1Mhz[4] ; clk_div:clkdiv_inst|count_1Mhz[0]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.048      ; 1.419      ;
1830
; 1.485 ; clk_div:clkdiv_inst|count_1Mhz[3] ; clk_div:clkdiv_inst|count_1Mhz[2]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.048      ; 1.719      ;
1831
; 1.485 ; clk_div:clkdiv_inst|count_1Mhz[3] ; clk_div:clkdiv_inst|count_1Mhz[1]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.048      ; 1.719      ;
1832
; 1.485 ; clk_div:clkdiv_inst|count_1Mhz[3] ; clk_div:clkdiv_inst|count_1Mhz[0]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.048      ; 1.719      ;
1833
+-------+-----------------------------------+------------------------------------+-------------------------------------+-------------------------------------+--------------+------------+------------+
1834
 
1835
 
1836
+------------------------------------------------------------------------------------------------------------------------------------------------------------+
1837
; Slow 1200mV 85C Model Hold: 'LCD:lcd_inst|clk_400hz_enable'                                                                                                ;
1838
+-------+--------------------------------+------------------+-----------------------+-------------------------------+--------------+------------+------------+
1839
; Slack ; From Node                      ; To Node          ; Launch Clock          ; Latch Clock                   ; Relationship ; Clock Skew ; Data Delay ;
1840
+-------+--------------------------------+------------------+-----------------------+-------------------------------+--------------+------------+------------+
1841
; 2.342 ; LCD:lcd_inst|char_count_sig[4] ; next_char_sig[5] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -1.135     ; 1.413      ;
1842
; 2.352 ; LCD:lcd_inst|char_count_sig[4] ; next_char_sig[4] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -0.911     ; 1.647      ;
1843
; 2.373 ; LCD:lcd_inst|char_count_sig[4] ; next_char_sig[6] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -1.126     ; 1.453      ;
1844
; 2.426 ; LCD:lcd_inst|char_count_sig[4] ; next_char_sig[1] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -1.147     ; 1.485      ;
1845
; 2.493 ; LCD:lcd_inst|char_count_sig[4] ; next_char_sig[0] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -1.232     ; 1.467      ;
1846
; 2.545 ; LCD:lcd_inst|char_count_sig[1] ; next_char_sig[0] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -1.232     ; 1.519      ;
1847
; 2.659 ; LCD:lcd_inst|char_count_sig[4] ; next_char_sig[7] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -1.252     ; 1.613      ;
1848
; 2.661 ; LCD:lcd_inst|char_count_sig[1] ; next_char_sig[4] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -0.911     ; 1.956      ;
1849
; 2.668 ; LCD:lcd_inst|char_count_sig[1] ; next_char_sig[6] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -1.126     ; 1.748      ;
1850
; 2.683 ; LCD:lcd_inst|char_count_sig[3] ; next_char_sig[4] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -0.911     ; 1.978      ;
1851
; 2.713 ; LCD:lcd_inst|char_count_sig[4] ; next_char_sig[2] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -1.230     ; 1.689      ;
1852
; 2.746 ; LCD:lcd_inst|char_count_sig[4] ; next_char_sig[3] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -1.208     ; 1.744      ;
1853
; 2.764 ; LCD:lcd_inst|char_count_sig[0] ; next_char_sig[5] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -1.135     ; 1.835      ;
1854
; 2.811 ; LCD:lcd_inst|char_count_sig[1] ; next_char_sig[2] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -1.230     ; 1.787      ;
1855
; 2.852 ; LCD:lcd_inst|char_count_sig[2] ; next_char_sig[1] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -1.147     ; 1.911      ;
1856
; 2.895 ; LCD:lcd_inst|char_count_sig[0] ; next_char_sig[4] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -0.911     ; 2.190      ;
1857
; 2.964 ; LCD:lcd_inst|char_count_sig[3] ; next_char_sig[0] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -1.232     ; 1.938      ;
1858
; 2.981 ; LCD:lcd_inst|char_count_sig[0] ; next_char_sig[1] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -1.147     ; 2.040      ;
1859
; 3.081 ; LCD:lcd_inst|char_count_sig[0] ; next_char_sig[3] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -1.208     ; 2.079      ;
1860
; 3.094 ; LCD:lcd_inst|char_count_sig[3] ; next_char_sig[1] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -1.147     ; 2.153      ;
1861
; 3.117 ; LCD:lcd_inst|char_count_sig[3] ; next_char_sig[5] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -1.135     ; 2.188      ;
1862
; 3.129 ; LCD:lcd_inst|char_count_sig[1] ; next_char_sig[3] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -1.208     ; 2.127      ;
1863
; 3.186 ; LCD:lcd_inst|char_count_sig[3] ; next_char_sig[2] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -1.230     ; 2.162      ;
1864
; 3.191 ; LCD:lcd_inst|char_count_sig[0] ; next_char_sig[6] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -1.126     ; 2.271      ;
1865
; 3.201 ; LCD:lcd_inst|char_count_sig[2] ; next_char_sig[3] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -1.208     ; 2.199      ;
1866
; 3.223 ; LCD:lcd_inst|char_count_sig[3] ; next_char_sig[6] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -1.126     ; 2.303      ;
1867
; 3.273 ; LCD:lcd_inst|char_count_sig[2] ; next_char_sig[4] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -0.911     ; 2.568      ;
1868
; 3.293 ; LCD:lcd_inst|char_count_sig[1] ; next_char_sig[7] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -1.252     ; 2.247      ;
1869
; 3.319 ; LCD:lcd_inst|char_count_sig[1] ; next_char_sig[1] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -1.147     ; 2.378      ;
1870
; 3.320 ; LCD:lcd_inst|char_count_sig[0] ; next_char_sig[0] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -1.232     ; 2.294      ;
1871
; 3.369 ; LCD:lcd_inst|char_count_sig[3] ; next_char_sig[7] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -1.252     ; 2.323      ;
1872
; 3.420 ; LCD:lcd_inst|char_count_sig[0] ; next_char_sig[2] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -1.230     ; 2.396      ;
1873
; 3.436 ; LCD:lcd_inst|char_count_sig[1] ; next_char_sig[5] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -1.135     ; 2.507      ;
1874
; 3.446 ; LCD:lcd_inst|char_count_sig[2] ; next_char_sig[7] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -1.252     ; 2.400      ;
1875
; 3.465 ; LCD:lcd_inst|char_count_sig[2] ; next_char_sig[5] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -1.135     ; 2.536      ;
1876
; 3.499 ; LCD:lcd_inst|char_count_sig[0] ; next_char_sig[7] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -1.252     ; 2.453      ;
1877
; 3.540 ; LCD:lcd_inst|char_count_sig[2] ; next_char_sig[0] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -1.232     ; 2.514      ;
1878
; 3.544 ; LCD:lcd_inst|char_count_sig[3] ; next_char_sig[3] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -1.208     ; 2.542      ;
1879
; 3.671 ; LCD:lcd_inst|char_count_sig[2] ; next_char_sig[2] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -1.230     ; 2.647      ;
1880
; 3.702 ; LCD:lcd_inst|char_count_sig[2] ; next_char_sig[6] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -1.126     ; 2.782      ;
1881
; 8.204 ; lcdvram[3][4]                  ; next_char_sig[4] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -5.222     ; 2.678      ;
1882
; 8.220 ; lcdvram[11][4]                 ; next_char_sig[4] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -5.344     ; 2.572      ;
1883
; 8.458 ; lcdvram[11][6]                 ; next_char_sig[6] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -6.187     ; 1.967      ;
1884
; 8.526 ; lcdvram[15][1]                 ; next_char_sig[1] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -6.705     ; 1.517      ;
1885
; 8.553 ; lcdvram[3][2]                  ; next_char_sig[2] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -5.653     ; 2.596      ;
1886
; 8.573 ; lcdvram[3][6]                  ; next_char_sig[6] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -5.549     ; 2.720      ;
1887
; 8.578 ; lcdvram[8][4]                  ; next_char_sig[4] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -5.808     ; 2.466      ;
1888
; 8.580 ; lcdvram[10][6]                 ; next_char_sig[6] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -6.179     ; 2.097      ;
1889
; 8.634 ; lcdvram[10][4]                 ; next_char_sig[4] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -5.296     ; 3.034      ;
1890
; 8.682 ; lcdvram[2][0]                  ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -5.677     ; 2.701      ;
1891
; 8.701 ; lcdvram[8][5]                  ; next_char_sig[5] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -6.059     ; 2.338      ;
1892
; 8.727 ; lcdvram[3][5]                  ; next_char_sig[5] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -6.192     ; 2.231      ;
1893
; 8.766 ; lcdvram[5][6]                  ; next_char_sig[6] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -6.197     ; 2.265      ;
1894
; 8.769 ; lcdvram[15][4]                 ; next_char_sig[4] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -6.612     ; 1.853      ;
1895
; 8.781 ; lcdvram[9][4]                  ; next_char_sig[4] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -6.225     ; 2.252      ;
1896
; 8.850 ; lcdvram[3][1]                  ; next_char_sig[1] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -5.902     ; 2.644      ;
1897
; 8.853 ; lcdvram[13][4]                 ; next_char_sig[4] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -5.992     ; 2.557      ;
1898
; 8.877 ; lcdvram[14][1]                 ; next_char_sig[1] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -6.847     ; 1.726      ;
1899
; 8.905 ; lcdvram[5][2]                  ; next_char_sig[2] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -6.360     ; 2.241      ;
1900
; 8.929 ; lcdvram[2][4]                  ; next_char_sig[4] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -5.473     ; 3.152      ;
1901
; 8.934 ; lcdvram[8][6]                  ; next_char_sig[6] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -6.210     ; 2.420      ;
1902
; 8.936 ; lcdvram[11][0]                 ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -6.441     ; 2.191      ;
1903
; 8.951 ; lcdvram[0][7]                  ; next_char_sig[7] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -5.938     ; 2.709      ;
1904
; 8.958 ; lcdvram[29][4]                 ; next_char_sig[4] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -6.884     ; 1.770      ;
1905
; 8.959 ; lcdvram[9][1]                  ; next_char_sig[1] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -6.271     ; 2.384      ;
1906
; 9.000 ; lcdvram[5][7]                  ; next_char_sig[7] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -6.250     ; 2.446      ;
1907
; 9.037 ; lcdvram[2][5]                  ; next_char_sig[5] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -5.977     ; 2.756      ;
1908
; 9.061 ; lcdvram[5][5]                  ; next_char_sig[5] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -6.395     ; 2.362      ;
1909
; 9.072 ; lcdvram[6][1]                  ; next_char_sig[1] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -6.822     ; 1.946      ;
1910
; 9.075 ; lcdvram[12][5]                 ; next_char_sig[5] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -6.484     ; 2.287      ;
1911
; 9.094 ; lcdvram[10][0]                 ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -6.727     ; 2.063      ;
1912
; 9.123 ; lcdvram[4][7]                  ; next_char_sig[7] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -5.876     ; 2.943      ;
1913
; 9.134 ; lcdvram[15][5]                 ; next_char_sig[5] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -6.456     ; 2.374      ;
1914
; 9.146 ; lcdvram[9][5]                  ; next_char_sig[5] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -6.260     ; 2.582      ;
1915
; 9.150 ; lcdvram[13][6]                 ; next_char_sig[6] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -6.218     ; 2.628      ;
1916
; 9.174 ; lcdvram[6][5]                  ; next_char_sig[5] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -6.811     ; 2.059      ;
1917
; 9.184 ; lcdvram[1][7]                  ; next_char_sig[7] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -6.180     ; 2.700      ;
1918
; 9.185 ; lcdvram[14][3]                 ; next_char_sig[3] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -6.727     ; 2.154      ;
1919
; 9.187 ; lcdvram[10][3]                 ; next_char_sig[3] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -5.856     ; 3.027      ;
1920
; 9.189 ; lcdvram[6][7]                  ; next_char_sig[7] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -6.783     ; 2.102      ;
1921
; 9.192 ; lcdvram[9][6]                  ; next_char_sig[6] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -6.529     ; 2.359      ;
1922
; 9.194 ; lcdvram[14][0]                 ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -6.842     ; 2.048      ;
1923
; 9.214 ; lcdvram[5][0]                  ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -6.300     ; 2.610      ;
1924
; 9.219 ; lcdvram[7][7]                  ; next_char_sig[7] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -6.730     ; 2.185      ;
1925
; 9.236 ; lcdvram[29][5]                 ; next_char_sig[5] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -7.119     ; 1.813      ;
1926
; 9.251 ; lcdvram[15][3]                 ; next_char_sig[3] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -6.923     ; 2.024      ;
1927
; 9.251 ; lcdvram[8][3]                  ; next_char_sig[3] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -6.288     ; 2.659      ;
1928
; 9.255 ; lcdvram[5][4]                  ; next_char_sig[4] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -5.979     ; 2.972      ;
1929
; 9.269 ; lcdvram[8][0]                  ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -6.546     ; 2.419      ;
1930
; 9.279 ; lcdvram[10][2]                 ; next_char_sig[2] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -6.617     ; 2.358      ;
1931
; 9.279 ; lcdvram[7][1]                  ; next_char_sig[1] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -6.791     ; 2.184      ;
1932
; 9.281 ; lcdvram[7][6]                  ; next_char_sig[6] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -6.413     ; 2.564      ;
1933
; 9.285 ; lcdvram[10][1]                 ; next_char_sig[1] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -5.840     ; 3.141      ;
1934
; 9.289 ; lcdvram[5][1]                  ; next_char_sig[1] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -6.375     ; 2.610      ;
1935
; 9.321 ; lcdvram[13][0]                 ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -6.322     ; 2.695      ;
1936
; 9.335 ; lcdvram[5][3]                  ; next_char_sig[3] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -6.207     ; 2.824      ;
1937
; 9.337 ; lcdvram[11][1]                 ; next_char_sig[1] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -6.135     ; 2.898      ;
1938
; 9.348 ; lcdvram[2][2]                  ; next_char_sig[2] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -6.311     ; 2.733      ;
1939
; 9.351 ; lcdvram[15][6]                 ; next_char_sig[6] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -7.011     ; 2.036      ;
1940
; 9.363 ; lcdvram[3][0]                  ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -5.541     ; 3.518      ;
1941
+-------+--------------------------------+------------------+-----------------------+-------------------------------+--------------+------------+------------+
1942
 
1943
 
1944
+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
1945
; Slow 1200mV 85C Model Recovery: 'ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set'                                                                                               ;
1946
+--------+-----------+--------------------------------------------------+--------------+-------------------------------------------------+--------------+------------+------------+
1947
; Slack  ; From Node ; To Node                                          ; Launch Clock ; Latch Clock                                     ; Relationship ; Clock Skew ; Data Delay ;
1948
+--------+-----------+--------------------------------------------------+--------------+-------------------------------------------------+--------------+------------+------------+
1949
; -2.630 ; ps2_read  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_ready ; CLOCK_50     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set ; 1.000        ; -1.694     ; 1.934      ;
1950
+--------+-----------+--------------------------------------------------+--------------+-------------------------------------------------+--------------+------------+------------+
1951
 
1952
 
1953
+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
1954
; Slow 1200mV 85C Model Removal: 'ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set'                                                                                               ;
1955
+-------+-----------+--------------------------------------------------+--------------+-------------------------------------------------+--------------+------------+------------+
1956
; Slack ; From Node ; To Node                                          ; Launch Clock ; Latch Clock                                     ; Relationship ; Clock Skew ; Data Delay ;
1957
+-------+-----------+--------------------------------------------------+--------------+-------------------------------------------------+--------------+------------+------------+
1958
; 3.090 ; ps2_read  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_ready ; CLOCK_50     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set ; 0.000        ; -1.505     ; 1.791      ;
1959
+-------+-----------+--------------------------------------------------+--------------+-------------------------------------------------+--------------+------------+------------+
1960
 
1961
 
1962
+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
1963
; Slow 1200mV 85C Model Minimum Pulse Width: 'SW[16]'                                                                                                                                       ;
1964
+--------+--------------+----------------+------------+--------+------------+---------------------------------------------------------------------------------------------------------------+
1965
; Slack  ; Actual Width ; Required Width ; Type       ; Clock  ; Clock Edge ; Target                                                                                                        ;
1966
+--------+--------------+----------------+------------+--------+------------+---------------------------------------------------------------------------------------------------------------+
1967
; -3.000 ; 1.000        ; 4.000          ; Port Rate  ; SW[16] ; Rise       ; SW[16]                                                                                                        ;
1968
; -2.693 ; 1.000        ; 3.693          ; Min Period ; SW[16] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a0~porta_address_reg0   ;
1969
; -2.693 ; 1.000        ; 3.693          ; Min Period ; SW[16] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a0~porta_datain_reg0    ;
1970
; -2.693 ; 1.000        ; 3.693          ; Min Period ; SW[16] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a0~porta_we_reg         ;
1971
; -2.693 ; 1.000        ; 3.693          ; Min Period ; SW[16] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a4~porta_address_reg0   ;
1972
; -2.693 ; 1.000        ; 3.693          ; Min Period ; SW[16] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a4~porta_datain_reg0    ;
1973
; -2.693 ; 1.000        ; 3.693          ; Min Period ; SW[16] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a4~porta_we_reg         ;
1974
; -2.693 ; 1.000        ; 3.693          ; Min Period ; SW[16] ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a0~porta_address_reg0 ;
1975
; -2.693 ; 1.000        ; 3.693          ; Min Period ; SW[16] ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a0~porta_datain_reg0  ;
1976
; -2.693 ; 1.000        ; 3.693          ; Min Period ; SW[16] ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a0~porta_we_reg       ;
1977
; -2.693 ; 1.000        ; 3.693          ; Min Period ; SW[16] ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a1~porta_address_reg0 ;
1978
; -2.693 ; 1.000        ; 3.693          ; Min Period ; SW[16] ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a1~porta_datain_reg0  ;
1979
; -2.693 ; 1.000        ; 3.693          ; Min Period ; SW[16] ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a1~porta_we_reg       ;
1980
; -2.693 ; 1.000        ; 3.693          ; Min Period ; SW[16] ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a2~porta_address_reg0 ;
1981
; -2.693 ; 1.000        ; 3.693          ; Min Period ; SW[16] ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a2~porta_datain_reg0  ;
1982
; -2.693 ; 1.000        ; 3.693          ; Min Period ; SW[16] ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a2~porta_we_reg       ;
1983
; -2.693 ; 1.000        ; 3.693          ; Min Period ; SW[16] ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a3~porta_address_reg0 ;
1984
; -2.693 ; 1.000        ; 3.693          ; Min Period ; SW[16] ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a3~porta_datain_reg0  ;
1985
; -2.693 ; 1.000        ; 3.693          ; Min Period ; SW[16] ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a3~porta_we_reg       ;
1986
; -2.693 ; 1.000        ; 3.693          ; Min Period ; SW[16] ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a4~porta_address_reg0 ;
1987
; -2.693 ; 1.000        ; 3.693          ; Min Period ; SW[16] ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a4~porta_datain_reg0  ;
1988
; -2.693 ; 1.000        ; 3.693          ; Min Period ; SW[16] ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a4~porta_we_reg       ;
1989
; -2.693 ; 1.000        ; 3.693          ; Min Period ; SW[16] ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a5~porta_address_reg0 ;
1990
; -2.693 ; 1.000        ; 3.693          ; Min Period ; SW[16] ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a5~porta_datain_reg0  ;
1991
; -2.693 ; 1.000        ; 3.693          ; Min Period ; SW[16] ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a5~porta_we_reg       ;
1992
; -2.693 ; 1.000        ; 3.693          ; Min Period ; SW[16] ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a6~porta_address_reg0 ;
1993
; -2.693 ; 1.000        ; 3.693          ; Min Period ; SW[16] ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a6~porta_datain_reg0  ;
1994
; -2.693 ; 1.000        ; 3.693          ; Min Period ; SW[16] ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a6~porta_we_reg       ;
1995
; -2.693 ; 1.000        ; 3.693          ; Min Period ; SW[16] ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a7~porta_address_reg0 ;
1996
; -2.693 ; 1.000        ; 3.693          ; Min Period ; SW[16] ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a7~porta_datain_reg0  ;
1997
; -2.693 ; 1.000        ; 3.693          ; Min Period ; SW[16] ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a7~porta_we_reg       ;
1998
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; LCDON_reg                                                                                                     ;
1999
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|DI_Reg[0]                                                                                      ;
2000
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|DI_Reg[1]                                                                                      ;
2001
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|DI_Reg[2]                                                                                      ;
2002
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|DI_Reg[3]                                                                                      ;
2003
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|DI_Reg[4]                                                                                      ;
2004
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|DI_Reg[5]                                                                                      ;
2005
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|DI_Reg[6]                                                                                      ;
2006
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|DI_Reg[7]                                                                                      ;
2007
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|IORQ_n                                                                                         ;
2008
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|MREQ_n                                                                                         ;
2009
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|RD_n                                                                                           ;
2010
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|ACC[0]                                                                                  ;
2011
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|ACC[1]                                                                                  ;
2012
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|ACC[2]                                                                                  ;
2013
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|ACC[3]                                                                                  ;
2014
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|ACC[4]                                                                                  ;
2015
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|ACC[5]                                                                                  ;
2016
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|ACC[6]                                                                                  ;
2017
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|ACC[7]                                                                                  ;
2018
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|ALU_Op_r[0]                                                                             ;
2019
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|ALU_Op_r[1]                                                                             ;
2020
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|ALU_Op_r[2]                                                                             ;
2021
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|ALU_Op_r[3]                                                                             ;
2022
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|A[0]                                                                                    ;
2023
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|A[10]                                                                                   ;
2024
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|A[11]                                                                                   ;
2025
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|A[12]                                                                                   ;
2026
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|A[13]                                                                                   ;
2027
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|A[14]                                                                                   ;
2028
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|A[15]                                                                                   ;
2029
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|A[1]                                                                                    ;
2030
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|A[2]                                                                                    ;
2031
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|A[3]                                                                                    ;
2032
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|A[4]                                                                                    ;
2033
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|A[5]                                                                                    ;
2034
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|A[6]                                                                                    ;
2035
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|A[7]                                                                                    ;
2036
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|A[8]                                                                                    ;
2037
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|A[9]                                                                                    ;
2038
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|Alternate                                                                               ;
2039
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|Ap[0]                                                                                   ;
2040
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|Ap[1]                                                                                   ;
2041
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|Ap[2]                                                                                   ;
2042
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|Ap[3]                                                                                   ;
2043
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|Ap[4]                                                                                   ;
2044
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|Ap[5]                                                                                   ;
2045
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|Ap[6]                                                                                   ;
2046
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|Ap[7]                                                                                   ;
2047
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|Arith16_r                                                                               ;
2048
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|BTR_r                                                                                   ;
2049
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|BusA[0]                                                                                 ;
2050
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|BusA[1]                                                                                 ;
2051
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|BusA[2]                                                                                 ;
2052
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|BusA[3]                                                                                 ;
2053
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|BusA[4]                                                                                 ;
2054
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|BusA[5]                                                                                 ;
2055
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|BusA[6]                                                                                 ;
2056
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|BusA[7]                                                                                 ;
2057
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|BusB[0]                                                                                 ;
2058
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|BusB[1]                                                                                 ;
2059
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|BusB[2]                                                                                 ;
2060
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|BusB[3]                                                                                 ;
2061
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|BusB[4]                                                                                 ;
2062
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|BusB[5]                                                                                 ;
2063
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|BusB[6]                                                                                 ;
2064
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|BusB[7]                                                                                 ;
2065
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|DO[0]                                                                                   ;
2066
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|DO[1]                                                                                   ;
2067
+--------+--------------+----------------+------------+--------+------------+---------------------------------------------------------------------------------------------------------------+
2068
 
2069
 
2070
+-----------------------------------------------------------------------------------------------------------------------+
2071
; Slow 1200mV 85C Model Minimum Pulse Width: 'CLOCK_50'                                                                 ;
2072
+--------+--------------+----------------+------------+----------+------------+-----------------------------------------+
2073
; Slack  ; Actual Width ; Required Width ; Type       ; Clock    ; Clock Edge ; Target                                  ;
2074
+--------+--------------+----------------+------------+----------+------------+-----------------------------------------+
2075
; -3.000 ; 1.000        ; 4.000          ; Port Rate  ; CLOCK_50 ; Rise       ; CLOCK_50                                ;
2076
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|LCD_EN                     ;
2077
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|LCD_ON                     ;
2078
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|LCD_RS                     ;
2079
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|char_count_sig[0]          ;
2080
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|char_count_sig[1]          ;
2081
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|char_count_sig[2]          ;
2082
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|char_count_sig[3]          ;
2083
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|char_count_sig[4]          ;
2084
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_400hz_enable           ;
2085
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[0]         ;
2086
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[10]        ;
2087
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[11]        ;
2088
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[12]        ;
2089
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[13]        ;
2090
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[14]        ;
2091
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[15]        ;
2092
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[16]        ;
2093
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[17]        ;
2094
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[18]        ;
2095
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[19]        ;
2096
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[1]         ;
2097
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[2]         ;
2098
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[3]         ;
2099
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[4]         ;
2100
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[5]         ;
2101
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[6]         ;
2102
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[7]         ;
2103
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[8]         ;
2104
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[9]         ;
2105
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|data_bus_value[0]          ;
2106
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|data_bus_value[1]          ;
2107
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|data_bus_value[2]          ;
2108
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|data_bus_value[3]          ;
2109
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|data_bus_value[4]          ;
2110
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|data_bus_value[5]          ;
2111
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|data_bus_value[6]          ;
2112
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|data_bus_value[7]          ;
2113
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|next_command.display_clear ;
2114
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|next_command.display_off   ;
2115
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|next_command.display_on    ;
2116
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|next_command.func_set      ;
2117
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|next_command.line2         ;
2118
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|next_command.mode_set      ;
2119
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|next_command.print_string  ;
2120
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|next_command.reset2        ;
2121
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|next_command.reset3        ;
2122
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|next_command.return_home   ;
2123
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|state.display_clear        ;
2124
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|state.display_off          ;
2125
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|state.display_on           ;
2126
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|state.drop_LCD_EN          ;
2127
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|state.func_set             ;
2128
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|state.hold                 ;
2129
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|state.line2                ;
2130
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|state.mode_set             ;
2131
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|state.print_string         ;
2132
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|state.reset1               ;
2133
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|state.reset2               ;
2134
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|state.reset3               ;
2135
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|state.return_home          ;
2136
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; \random:rand_temp[0]                    ;
2137
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; \random:rand_temp[10]                   ;
2138
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; \random:rand_temp[11]                   ;
2139
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; \random:rand_temp[12]                   ;
2140
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; \random:rand_temp[13]                   ;
2141
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; \random:rand_temp[14]                   ;
2142
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; \random:rand_temp[15]                   ;
2143
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; \random:rand_temp[1]                    ;
2144
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; \random:rand_temp[2]                    ;
2145
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; \random:rand_temp[3]                    ;
2146
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; \random:rand_temp[4]                    ;
2147
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; \random:rand_temp[5]                    ;
2148
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; \random:rand_temp[6]                    ;
2149
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; \random:rand_temp[7]                    ;
2150
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; \random:rand_temp[8]                    ;
2151
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; \random:rand_temp[9]                    ;
2152
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz         ;
2153
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|clock_10MHz         ;
2154
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|clock_10Mhz_int     ;
2155
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|clock_25MHz         ;
2156
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|clock_25Mhz_int     ;
2157
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|clock_357Mhz        ;
2158
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|clock_357Mhz_int    ;
2159
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|count_10Mhz[0]      ;
2160
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|count_10Mhz[1]      ;
2161
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|count_10Mhz[2]      ;
2162
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|count_357Mhz[0]     ;
2163
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|count_357Mhz[1]     ;
2164
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|count_357Mhz[2]     ;
2165
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|count_357Mhz[3]     ;
2166
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; ps2_ascii_reg1[0]                       ;
2167
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; ps2_ascii_reg1[1]                       ;
2168
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; ps2_ascii_reg1[2]                       ;
2169
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; ps2_ascii_reg1[3]                       ;
2170
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; ps2_ascii_reg1[4]                       ;
2171
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; ps2_ascii_reg1[5]                       ;
2172
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; ps2_ascii_reg1[6]                       ;
2173
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; ps2_ascii_reg1[7]                       ;
2174
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; ps2_read                                ;
2175
+--------+--------------+----------------+------------+----------+------------+-----------------------------------------+
2176
 
2177
 
2178
+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
2179
; Slow 1200mV 85C Model Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_25MHz'                                                                                                                                            ;
2180
+--------+--------------+----------------+-----------------+---------------------------------+------------+---------------------------------------------------------------------------------------------------------------+
2181
; Slack  ; Actual Width ; Required Width ; Type            ; Clock                           ; Clock Edge ; Target                                                                                                        ;
2182
+--------+--------------+----------------+-----------------+---------------------------------+------------+---------------------------------------------------------------------------------------------------------------+
2183
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a0~portb_address_reg0   ;
2184
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a4~portb_address_reg0   ;
2185
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a0                      ;
2186
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a0~porta_address_reg0   ;
2187
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a1                      ;
2188
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a10                     ;
2189
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a10~porta_address_reg0  ;
2190
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a11                     ;
2191
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a11~porta_address_reg0  ;
2192
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a12                     ;
2193
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a12~porta_address_reg0  ;
2194
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a13                     ;
2195
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a13~porta_address_reg0  ;
2196
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a14                     ;
2197
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a14~porta_address_reg0  ;
2198
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a15                     ;
2199
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a15~porta_address_reg0  ;
2200
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a1~porta_address_reg0   ;
2201
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a2                      ;
2202
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a2~porta_address_reg0   ;
2203
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a3                      ;
2204
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a3~porta_address_reg0   ;
2205
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a4                      ;
2206
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a4~porta_address_reg0   ;
2207
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a5                      ;
2208
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a5~porta_address_reg0   ;
2209
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a6                      ;
2210
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a6~porta_address_reg0   ;
2211
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a7                      ;
2212
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a7~porta_address_reg0   ;
2213
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a8                      ;
2214
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a8~porta_address_reg0   ;
2215
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a9                      ;
2216
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a9~porta_address_reg0   ;
2217
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a0~portb_address_reg0 ;
2218
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a1~portb_address_reg0 ;
2219
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a2~portb_address_reg0 ;
2220
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a3~portb_address_reg0 ;
2221
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a4~portb_address_reg0 ;
2222
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a5~portb_address_reg0 ;
2223
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a6~portb_address_reg0 ;
2224
; -2.693 ; 1.000        ; 3.693          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a7~portb_address_reg0 ;
2225
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|address_reg_a[0]                  ;
2226
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|out_address_reg_a[0]              ;
2227
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[0]                                                           ;
2228
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[1]                                                           ;
2229
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[2]                                                           ;
2230
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[3]                                                           ;
2231
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[0]                                                            ;
2232
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[1]                                                            ;
2233
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[2]                                                            ;
2234
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[3]                                                            ;
2235
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[4]                                                            ;
2236
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[5]                                                            ;
2237
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[6]                                                            ;
2238
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[7]                                                            ;
2239
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[8]                                                            ;
2240
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[9]                                                            ;
2241
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|horiz_sync                                                            ;
2242
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|horiz_sync_out                                                        ;
2243
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[0]                                                       ;
2244
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[1]                                                       ;
2245
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[2]                                                       ;
2246
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[3]                                                       ;
2247
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[4]                                                       ;
2248
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[5]                                                       ;
2249
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[6]                                                       ;
2250
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[7]                                                       ;
2251
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[8]                                                       ;
2252
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[9]                                                       ;
2253
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[0]                                                          ;
2254
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[1]                                                          ;
2255
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[2]                                                          ;
2256
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[3]                                                          ;
2257
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[4]                                                          ;
2258
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[5]                                                          ;
2259
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[6]                                                          ;
2260
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[7]                                                          ;
2261
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[8]                                                          ;
2262
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[0]                                                            ;
2263
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[1]                                                            ;
2264
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[2]                                                            ;
2265
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[3]                                                            ;
2266
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[4]                                                            ;
2267
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[5]                                                            ;
2268
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[6]                                                            ;
2269
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[7]                                                            ;
2270
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[8]                                                            ;
2271
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[9]                                                            ;
2272
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|vert_sync                                                             ;
2273
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|vert_sync_out                                                         ;
2274
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|video_on_h                                                            ;
2275
; -1.285 ; 1.000        ; 2.285          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|video_on_v                                                            ;
2276
; 0.221  ; 0.456        ; 0.235          ; Low Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a5~porta_address_reg0   ;
2277
; 0.221  ; 0.456        ; 0.235          ; Low Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a8~porta_address_reg0   ;
2278
; 0.224  ; 0.459        ; 0.235          ; Low Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a2~porta_address_reg0   ;
2279
; 0.224  ; 0.459        ; 0.235          ; Low Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a5                      ;
2280
; 0.224  ; 0.459        ; 0.235          ; Low Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a8                      ;
2281
; 0.225  ; 0.460        ; 0.235          ; Low Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a12~porta_address_reg0  ;
2282
; 0.225  ; 0.460        ; 0.235          ; Low Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a3~porta_address_reg0   ;
2283
+--------+--------------+----------------+-----------------+---------------------------------+------------+---------------------------------------------------------------------------------------------------------------+
2284
 
2285
 
2286
+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
2287
; Slow 1200mV 85C Model Minimum Pulse Width: 'ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered'                                                                                            ;
2288
+--------+--------------+----------------+------------------+-------------------------------------------------------------+------------+--------------------------------------------------------------+
2289
; Slack  ; Actual Width ; Required Width ; Type             ; Clock                                                       ; Clock Edge ; Target                                                       ;
2290
+--------+--------------+----------------+------------------+-------------------------------------------------------------+------------+--------------------------------------------------------------+
2291
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]               ;
2292
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]               ;
2293
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]               ;
2294
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]               ;
2295
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR              ;
2296
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]             ;
2297
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]             ;
2298
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]             ;
2299
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]             ;
2300
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]             ;
2301
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]             ;
2302
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]             ;
2303
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]             ;
2304
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]             ;
2305
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set              ;
2306
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0]           ;
2307
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1]           ;
2308
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2]           ;
2309
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3]           ;
2310
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4]           ;
2311
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5]           ;
2312
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6]           ;
2313
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7]           ;
2314
; 0.286  ; 0.474        ; 0.188          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]               ;
2315
; 0.286  ; 0.474        ; 0.188          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]               ;
2316
; 0.286  ; 0.474        ; 0.188          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]               ;
2317
; 0.286  ; 0.474        ; 0.188          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]               ;
2318
; 0.286  ; 0.474        ; 0.188          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR              ;
2319
; 0.286  ; 0.474        ; 0.188          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]             ;
2320
; 0.286  ; 0.474        ; 0.188          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]             ;
2321
; 0.286  ; 0.474        ; 0.188          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]             ;
2322
; 0.286  ; 0.474        ; 0.188          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]             ;
2323
; 0.286  ; 0.474        ; 0.188          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]             ;
2324
; 0.286  ; 0.474        ; 0.188          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]             ;
2325
; 0.286  ; 0.474        ; 0.188          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]             ;
2326
; 0.286  ; 0.474        ; 0.188          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]             ;
2327
; 0.286  ; 0.474        ; 0.188          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]             ;
2328
; 0.286  ; 0.474        ; 0.188          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set              ;
2329
; 0.286  ; 0.474        ; 0.188          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0]           ;
2330
; 0.286  ; 0.474        ; 0.188          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1]           ;
2331
; 0.286  ; 0.474        ; 0.188          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2]           ;
2332
; 0.286  ; 0.474        ; 0.188          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3]           ;
2333
; 0.286  ; 0.474        ; 0.188          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4]           ;
2334
; 0.286  ; 0.474        ; 0.188          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6]           ;
2335
; 0.286  ; 0.474        ; 0.188          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7]           ;
2336
; 0.287  ; 0.475        ; 0.188          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5]           ;
2337
; 0.302  ; 0.522        ; 0.220          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]               ;
2338
; 0.302  ; 0.522        ; 0.220          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]               ;
2339
; 0.302  ; 0.522        ; 0.220          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]               ;
2340
; 0.302  ; 0.522        ; 0.220          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]               ;
2341
; 0.302  ; 0.522        ; 0.220          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR              ;
2342
; 0.302  ; 0.522        ; 0.220          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]             ;
2343
; 0.302  ; 0.522        ; 0.220          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]             ;
2344
; 0.302  ; 0.522        ; 0.220          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]             ;
2345
; 0.302  ; 0.522        ; 0.220          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]             ;
2346
; 0.302  ; 0.522        ; 0.220          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]             ;
2347
; 0.302  ; 0.522        ; 0.220          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]             ;
2348
; 0.302  ; 0.522        ; 0.220          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]             ;
2349
; 0.302  ; 0.522        ; 0.220          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]             ;
2350
; 0.302  ; 0.522        ; 0.220          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]             ;
2351
; 0.302  ; 0.522        ; 0.220          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set              ;
2352
; 0.302  ; 0.522        ; 0.220          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0]           ;
2353
; 0.302  ; 0.522        ; 0.220          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1]           ;
2354
; 0.302  ; 0.522        ; 0.220          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2]           ;
2355
; 0.302  ; 0.522        ; 0.220          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3]           ;
2356
; 0.302  ; 0.522        ; 0.220          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4]           ;
2357
; 0.302  ; 0.522        ; 0.220          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5]           ;
2358
; 0.302  ; 0.522        ; 0.220          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6]           ;
2359
; 0.302  ; 0.522        ; 0.220          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7]           ;
2360
; 0.435  ; 0.435        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|keyboard_clk_filtered~clkctrl|inclk[0] ;
2361
; 0.435  ; 0.435        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|keyboard_clk_filtered~clkctrl|outclk   ;
2362
; 0.439  ; 0.439        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|INCNT[0]|clk                           ;
2363
; 0.439  ; 0.439        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|INCNT[1]|clk                           ;
2364
; 0.439  ; 0.439        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|INCNT[2]|clk                           ;
2365
; 0.439  ; 0.439        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|INCNT[3]|clk                           ;
2366
; 0.439  ; 0.439        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|READ_CHAR|clk                          ;
2367
; 0.439  ; 0.439        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|SHIFTIN[0]|clk                         ;
2368
; 0.439  ; 0.439        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|SHIFTIN[1]|clk                         ;
2369
; 0.439  ; 0.439        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|SHIFTIN[2]|clk                         ;
2370
; 0.439  ; 0.439        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|SHIFTIN[3]|clk                         ;
2371
; 0.439  ; 0.439        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|SHIFTIN[4]|clk                         ;
2372
; 0.439  ; 0.439        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|SHIFTIN[5]|clk                         ;
2373
; 0.439  ; 0.439        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|SHIFTIN[6]|clk                         ;
2374
; 0.439  ; 0.439        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|SHIFTIN[7]|clk                         ;
2375
; 0.439  ; 0.439        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|SHIFTIN[8]|clk                         ;
2376
; 0.439  ; 0.439        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|ready_set|clk                          ;
2377
; 0.439  ; 0.439        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|scan_code[0]|clk                       ;
2378
; 0.439  ; 0.439        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|scan_code[1]|clk                       ;
2379
; 0.439  ; 0.439        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|scan_code[2]|clk                       ;
2380
; 0.439  ; 0.439        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|scan_code[3]|clk                       ;
2381
; 0.439  ; 0.439        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|scan_code[4]|clk                       ;
2382
; 0.439  ; 0.439        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|scan_code[6]|clk                       ;
2383
; 0.439  ; 0.439        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|scan_code[7]|clk                       ;
2384
; 0.440  ; 0.440        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|scan_code[5]|clk                       ;
2385
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|keyboard_clk_filtered|q                ;
2386
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|keyboard_clk_filtered|q                ;
2387
; 0.558  ; 0.558        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|INCNT[0]|clk                           ;
2388
; 0.558  ; 0.558        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|INCNT[1]|clk                           ;
2389
; 0.558  ; 0.558        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|INCNT[2]|clk                           ;
2390
; 0.558  ; 0.558        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|INCNT[3]|clk                           ;
2391
+--------+--------------+----------------+------------------+-------------------------------------------------------------+------------+--------------------------------------------------------------+
2392
 
2393
 
2394
+--------------------------------------------------------------------------------------------------------------------------------------+
2395
; Slow 1200mV 85C Model Minimum Pulse Width: 'LCD:lcd_inst|clk_400hz_enable'                                                           ;
2396
+--------+--------------+----------------+------------------+-------------------------------+------------+-----------------------------+
2397
; Slack  ; Actual Width ; Required Width ; Type             ; Clock                         ; Clock Edge ; Target                      ;
2398
+--------+--------------+----------------+------------------+-------------------------------+------------+-----------------------------+
2399
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[0]            ;
2400
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[1]            ;
2401
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[2]            ;
2402
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[3]            ;
2403
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[4]            ;
2404
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[5]            ;
2405
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[6]            ;
2406
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[7]            ;
2407
; 0.257  ; 0.477        ; 0.220          ; High Pulse Width ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[4]            ;
2408
; 0.279  ; 0.499        ; 0.220          ; High Pulse Width ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[2]            ;
2409
; 0.282  ; 0.502        ; 0.220          ; High Pulse Width ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[7]            ;
2410
; 0.292  ; 0.512        ; 0.220          ; High Pulse Width ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[3]            ;
2411
; 0.292  ; 0.480        ; 0.188          ; Low Pulse Width  ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[6]            ;
2412
; 0.294  ; 0.514        ; 0.220          ; High Pulse Width ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[0]            ;
2413
; 0.294  ; 0.514        ; 0.220          ; High Pulse Width ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[1]            ;
2414
; 0.294  ; 0.482        ; 0.188          ; Low Pulse Width  ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[1]            ;
2415
; 0.294  ; 0.482        ; 0.188          ; Low Pulse Width  ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[5]            ;
2416
; 0.295  ; 0.483        ; 0.188          ; Low Pulse Width  ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[0]            ;
2417
; 0.296  ; 0.516        ; 0.220          ; High Pulse Width ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[5]            ;
2418
; 0.297  ; 0.485        ; 0.188          ; Low Pulse Width  ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[3]            ;
2419
; 0.298  ; 0.518        ; 0.220          ; High Pulse Width ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[6]            ;
2420
; 0.307  ; 0.495        ; 0.188          ; Low Pulse Width  ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[7]            ;
2421
; 0.310  ; 0.498        ; 0.188          ; Low Pulse Width  ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[2]            ;
2422
; 0.334  ; 0.522        ; 0.188          ; Low Pulse Width  ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[4]            ;
2423
; 0.445  ; 0.445        ; 0.000          ; Low Pulse Width  ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[6]|clk        ;
2424
; 0.447  ; 0.447        ; 0.000          ; Low Pulse Width  ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[1]|clk        ;
2425
; 0.447  ; 0.447        ; 0.000          ; Low Pulse Width  ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[5]|clk        ;
2426
; 0.448  ; 0.448        ; 0.000          ; Low Pulse Width  ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[0]|clk        ;
2427
; 0.450  ; 0.450        ; 0.000          ; Low Pulse Width  ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[3]|clk        ;
2428
; 0.460  ; 0.460        ; 0.000          ; Low Pulse Width  ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[7]|clk        ;
2429
; 0.463  ; 0.463        ; 0.000          ; Low Pulse Width  ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[2]|clk        ;
2430
; 0.487  ; 0.487        ; 0.000          ; Low Pulse Width  ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[4]|clk        ;
2431
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; lcd_inst|clk_400hz_enable|q ;
2432
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; lcd_inst|clk_400hz_enable|q ;
2433
; 0.513  ; 0.513        ; 0.000          ; High Pulse Width ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[4]|clk        ;
2434
; 0.535  ; 0.535        ; 0.000          ; High Pulse Width ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[2]|clk        ;
2435
; 0.538  ; 0.538        ; 0.000          ; High Pulse Width ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[7]|clk        ;
2436
; 0.548  ; 0.548        ; 0.000          ; High Pulse Width ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[3]|clk        ;
2437
; 0.550  ; 0.550        ; 0.000          ; High Pulse Width ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[0]|clk        ;
2438
; 0.550  ; 0.550        ; 0.000          ; High Pulse Width ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[1]|clk        ;
2439
; 0.552  ; 0.552        ; 0.000          ; High Pulse Width ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[5]|clk        ;
2440
; 0.554  ; 0.554        ; 0.000          ; High Pulse Width ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[6]|clk        ;
2441
+--------+--------------+----------------+------------------+-------------------------------+------------+-----------------------------+
2442
 
2443
 
2444
+---------------------------------------------------------------------------------------------------------------------------------------------------+
2445
; Slow 1200mV 85C Model Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_25Mhz_int'                                                                  ;
2446
+--------+--------------+----------------+------------------+-------------------------------------+------------+------------------------------------+
2447
; Slack  ; Actual Width ; Required Width ; Type             ; Clock                               ; Clock Edge ; Target                             ;
2448
+--------+--------------+----------------+------------------+-------------------------------------+------------+------------------------------------+
2449
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|clock_1Mhz_int ;
2450
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[0]  ;
2451
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[1]  ;
2452
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[2]  ;
2453
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[3]  ;
2454
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[4]  ;
2455
; 0.273  ; 0.461        ; 0.188          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|clock_1Mhz_int ;
2456
; 0.273  ; 0.461        ; 0.188          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[0]  ;
2457
; 0.273  ; 0.461        ; 0.188          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[1]  ;
2458
; 0.273  ; 0.461        ; 0.188          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[2]  ;
2459
; 0.273  ; 0.461        ; 0.188          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[3]  ;
2460
; 0.273  ; 0.461        ; 0.188          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[4]  ;
2461
; 0.315  ; 0.535        ; 0.220          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|clock_1Mhz_int ;
2462
; 0.315  ; 0.535        ; 0.220          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[0]  ;
2463
; 0.315  ; 0.535        ; 0.220          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[1]  ;
2464
; 0.315  ; 0.535        ; 0.220          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[2]  ;
2465
; 0.315  ; 0.535        ; 0.220          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[3]  ;
2466
; 0.315  ; 0.535        ; 0.220          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[4]  ;
2467
; 0.426  ; 0.426        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|clock_1Mhz_int|clk     ;
2468
; 0.426  ; 0.426        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|count_1Mhz[0]|clk      ;
2469
; 0.426  ; 0.426        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|count_1Mhz[1]|clk      ;
2470
; 0.426  ; 0.426        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|count_1Mhz[2]|clk      ;
2471
; 0.426  ; 0.426        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|count_1Mhz[3]|clk      ;
2472
; 0.426  ; 0.426        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|count_1Mhz[4]|clk      ;
2473
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|clock_25Mhz_int|q      ;
2474
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|clock_25Mhz_int|q      ;
2475
; 0.571  ; 0.571        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|clock_1Mhz_int|clk     ;
2476
; 0.571  ; 0.571        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|count_1Mhz[0]|clk      ;
2477
; 0.571  ; 0.571        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|count_1Mhz[1]|clk      ;
2478
; 0.571  ; 0.571        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|count_1Mhz[2]|clk      ;
2479
; 0.571  ; 0.571        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|count_1Mhz[3]|clk      ;
2480
; 0.571  ; 0.571        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|count_1Mhz[4]|clk      ;
2481
+--------+--------------+----------------+------------------+-------------------------------------+------------+------------------------------------+
2482
 
2483
 
2484
+-----------------------------------------------------------------------------------------------------------------------------------------------------+
2485
; Slow 1200mV 85C Model Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_100Khz_int'                                                                   ;
2486
+--------+--------------+----------------+------------------+--------------------------------------+------------+-------------------------------------+
2487
; Slack  ; Actual Width ; Required Width ; Type             ; Clock                                ; Clock Edge ; Target                              ;
2488
+--------+--------------+----------------+------------------+--------------------------------------+------------+-------------------------------------+
2489
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clk_div:clkdiv_inst|clock_10Khz_int ;
2490
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clk_div:clkdiv_inst|count_10Khz[0]  ;
2491
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clk_div:clkdiv_inst|count_10Khz[1]  ;
2492
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clk_div:clkdiv_inst|count_10Khz[2]  ;
2493
; 0.288  ; 0.476        ; 0.188          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clk_div:clkdiv_inst|clock_10Khz_int ;
2494
; 0.288  ; 0.476        ; 0.188          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clk_div:clkdiv_inst|count_10Khz[0]  ;
2495
; 0.288  ; 0.476        ; 0.188          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clk_div:clkdiv_inst|count_10Khz[1]  ;
2496
; 0.288  ; 0.476        ; 0.188          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clk_div:clkdiv_inst|count_10Khz[2]  ;
2497
; 0.301  ; 0.521        ; 0.220          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clk_div:clkdiv_inst|clock_10Khz_int ;
2498
; 0.301  ; 0.521        ; 0.220          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clk_div:clkdiv_inst|count_10Khz[0]  ;
2499
; 0.301  ; 0.521        ; 0.220          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clk_div:clkdiv_inst|count_10Khz[1]  ;
2500
; 0.301  ; 0.521        ; 0.220          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clk_div:clkdiv_inst|count_10Khz[2]  ;
2501
; 0.441  ; 0.441        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clkdiv_inst|clock_10Khz_int|clk     ;
2502
; 0.441  ; 0.441        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clkdiv_inst|count_10Khz[0]|clk      ;
2503
; 0.441  ; 0.441        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clkdiv_inst|count_10Khz[1]|clk      ;
2504
; 0.441  ; 0.441        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clkdiv_inst|count_10Khz[2]|clk      ;
2505
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clkdiv_inst|clock_100Khz_int|q      ;
2506
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clkdiv_inst|clock_100Khz_int|q      ;
2507
; 0.557  ; 0.557        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clkdiv_inst|clock_10Khz_int|clk     ;
2508
; 0.557  ; 0.557        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clkdiv_inst|count_10Khz[0]|clk      ;
2509
; 0.557  ; 0.557        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clkdiv_inst|count_10Khz[1]|clk      ;
2510
; 0.557  ; 0.557        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clkdiv_inst|count_10Khz[2]|clk      ;
2511
+--------+--------------+----------------+------------------+--------------------------------------+------------+-------------------------------------+
2512
 
2513
 
2514
+---------------------------------------------------------------------------------------------------------------------------------------------------+
2515
; Slow 1200mV 85C Model Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_10Khz_int'                                                                  ;
2516
+--------+--------------+----------------+------------------+-------------------------------------+------------+------------------------------------+
2517
; Slack  ; Actual Width ; Required Width ; Type             ; Clock                               ; Clock Edge ; Target                             ;
2518
+--------+--------------+----------------+------------------+-------------------------------------+------------+------------------------------------+
2519
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clk_div:clkdiv_inst|clock_1Khz_int ;
2520
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clk_div:clkdiv_inst|count_1Khz[0]  ;
2521
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clk_div:clkdiv_inst|count_1Khz[1]  ;
2522
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clk_div:clkdiv_inst|count_1Khz[2]  ;
2523
; 0.263  ; 0.451        ; 0.188          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clk_div:clkdiv_inst|clock_1Khz_int ;
2524
; 0.263  ; 0.451        ; 0.188          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clk_div:clkdiv_inst|count_1Khz[0]  ;
2525
; 0.263  ; 0.451        ; 0.188          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clk_div:clkdiv_inst|count_1Khz[1]  ;
2526
; 0.263  ; 0.451        ; 0.188          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clk_div:clkdiv_inst|count_1Khz[2]  ;
2527
; 0.324  ; 0.544        ; 0.220          ; High Pulse Width ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clk_div:clkdiv_inst|clock_1Khz_int ;
2528
; 0.324  ; 0.544        ; 0.220          ; High Pulse Width ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clk_div:clkdiv_inst|count_1Khz[0]  ;
2529
; 0.324  ; 0.544        ; 0.220          ; High Pulse Width ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clk_div:clkdiv_inst|count_1Khz[1]  ;
2530
; 0.324  ; 0.544        ; 0.220          ; High Pulse Width ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clk_div:clkdiv_inst|count_1Khz[2]  ;
2531
; 0.416  ; 0.416        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clkdiv_inst|clock_1Khz_int|clk     ;
2532
; 0.416  ; 0.416        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clkdiv_inst|count_1Khz[0]|clk      ;
2533
; 0.416  ; 0.416        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clkdiv_inst|count_1Khz[1]|clk      ;
2534
; 0.416  ; 0.416        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clkdiv_inst|count_1Khz[2]|clk      ;
2535
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clkdiv_inst|clock_10Khz_int|q      ;
2536
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clkdiv_inst|clock_10Khz_int|q      ;
2537
; 0.580  ; 0.580        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clkdiv_inst|clock_1Khz_int|clk     ;
2538
; 0.580  ; 0.580        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clkdiv_inst|count_1Khz[0]|clk      ;
2539
; 0.580  ; 0.580        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clkdiv_inst|count_1Khz[1]|clk      ;
2540
; 0.580  ; 0.580        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clkdiv_inst|count_1Khz[2]|clk      ;
2541
+--------+--------------+----------------+------------------+-------------------------------------+------------+------------------------------------+
2542
 
2543
 
2544
+---------------------------------------------------------------------------------------------------------------------------------------------------+
2545
; Slow 1200mV 85C Model Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_1Khz_int'                                                                   ;
2546
+--------+--------------+----------------+------------------+------------------------------------+------------+-------------------------------------+
2547
; Slack  ; Actual Width ; Required Width ; Type             ; Clock                              ; Clock Edge ; Target                              ;
2548
+--------+--------------+----------------+------------------+------------------------------------+------------+-------------------------------------+
2549
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clk_div:clkdiv_inst|clock_100hz_int ;
2550
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clk_div:clkdiv_inst|count_100hz[0]  ;
2551
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clk_div:clkdiv_inst|count_100hz[1]  ;
2552
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clk_div:clkdiv_inst|count_100hz[2]  ;
2553
; 0.283  ; 0.503        ; 0.220          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clk_div:clkdiv_inst|clock_100hz_int ;
2554
; 0.283  ; 0.503        ; 0.220          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clk_div:clkdiv_inst|count_100hz[0]  ;
2555
; 0.283  ; 0.503        ; 0.220          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clk_div:clkdiv_inst|count_100hz[1]  ;
2556
; 0.283  ; 0.503        ; 0.220          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clk_div:clkdiv_inst|count_100hz[2]  ;
2557
; 0.306  ; 0.494        ; 0.188          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clk_div:clkdiv_inst|clock_100hz_int ;
2558
; 0.306  ; 0.494        ; 0.188          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clk_div:clkdiv_inst|count_100hz[0]  ;
2559
; 0.306  ; 0.494        ; 0.188          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clk_div:clkdiv_inst|count_100hz[1]  ;
2560
; 0.306  ; 0.494        ; 0.188          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clk_div:clkdiv_inst|count_100hz[2]  ;
2561
; 0.459  ; 0.459        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clkdiv_inst|clock_100hz_int|clk     ;
2562
; 0.459  ; 0.459        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clkdiv_inst|count_100hz[0]|clk      ;
2563
; 0.459  ; 0.459        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clkdiv_inst|count_100hz[1]|clk      ;
2564
; 0.459  ; 0.459        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clkdiv_inst|count_100hz[2]|clk      ;
2565
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clkdiv_inst|clock_1Khz_int|q        ;
2566
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clkdiv_inst|clock_1Khz_int|q        ;
2567
; 0.539  ; 0.539        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clkdiv_inst|clock_100hz_int|clk     ;
2568
; 0.539  ; 0.539        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clkdiv_inst|count_100hz[0]|clk      ;
2569
; 0.539  ; 0.539        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clkdiv_inst|count_100hz[1]|clk      ;
2570
; 0.539  ; 0.539        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clkdiv_inst|count_100hz[2]|clk      ;
2571
+--------+--------------+----------------+------------------+------------------------------------+------------+-------------------------------------+
2572
 
2573
 
2574
+----------------------------------------------------------------------------------------------------------------------------------------------------+
2575
; Slow 1200mV 85C Model Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_1Mhz_int'                                                                    ;
2576
+--------+--------------+----------------+------------------+------------------------------------+------------+--------------------------------------+
2577
; Slack  ; Actual Width ; Required Width ; Type             ; Clock                              ; Clock Edge ; Target                               ;
2578
+--------+--------------+----------------+------------------+------------------------------------+------------+--------------------------------------+
2579
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clk_div:clkdiv_inst|clock_100Khz_int ;
2580
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_100Khz[0]  ;
2581
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_100Khz[1]  ;
2582
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_100Khz[2]  ;
2583
; 0.285  ; 0.505        ; 0.220          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clk_div:clkdiv_inst|clock_100Khz_int ;
2584
; 0.285  ; 0.505        ; 0.220          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_100Khz[0]  ;
2585
; 0.285  ; 0.505        ; 0.220          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_100Khz[1]  ;
2586
; 0.285  ; 0.505        ; 0.220          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_100Khz[2]  ;
2587
; 0.304  ; 0.492        ; 0.188          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clk_div:clkdiv_inst|clock_100Khz_int ;
2588
; 0.304  ; 0.492        ; 0.188          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_100Khz[0]  ;
2589
; 0.304  ; 0.492        ; 0.188          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_100Khz[1]  ;
2590
; 0.304  ; 0.492        ; 0.188          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_100Khz[2]  ;
2591
; 0.457  ; 0.457        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clkdiv_inst|clock_100Khz_int|clk     ;
2592
; 0.457  ; 0.457        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clkdiv_inst|count_100Khz[0]|clk      ;
2593
; 0.457  ; 0.457        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clkdiv_inst|count_100Khz[1]|clk      ;
2594
; 0.457  ; 0.457        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clkdiv_inst|count_100Khz[2]|clk      ;
2595
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clkdiv_inst|clock_1Mhz_int|q         ;
2596
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clkdiv_inst|clock_1Mhz_int|q         ;
2597
; 0.541  ; 0.541        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clkdiv_inst|clock_100Khz_int|clk     ;
2598
; 0.541  ; 0.541        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clkdiv_inst|count_100Khz[0]|clk      ;
2599
; 0.541  ; 0.541        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clkdiv_inst|count_100Khz[1]|clk      ;
2600
; 0.541  ; 0.541        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clkdiv_inst|count_100Khz[2]|clk      ;
2601
+--------+--------------+----------------+------------------+------------------------------------+------------+--------------------------------------+
2602
 
2603
 
2604
+----------------------------------------------------------------------------------------------------------------------------------------+
2605
; Slow 1200mV 85C Model Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_100Hz'                                                           ;
2606
+--------+--------------+----------------+------------------+---------------------------------+------------+-----------------------------+
2607
; Slack  ; Actual Width ; Required Width ; Type             ; Clock                           ; Clock Edge ; Target                      ;
2608
+--------+--------------+----------------+------------------+---------------------------------+------------+-----------------------------+
2609
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; ps2kbd:ps2_kbd_inst|caps[0] ;
2610
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; ps2kbd:ps2_kbd_inst|caps[1] ;
2611
; 0.277  ; 0.497        ; 0.220          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; ps2kbd:ps2_kbd_inst|caps[0] ;
2612
; 0.277  ; 0.497        ; 0.220          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; ps2kbd:ps2_kbd_inst|caps[1] ;
2613
; 0.312  ; 0.500        ; 0.188          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; ps2kbd:ps2_kbd_inst|caps[0] ;
2614
; 0.312  ; 0.500        ; 0.188          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; ps2kbd:ps2_kbd_inst|caps[1] ;
2615
; 0.465  ; 0.465        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; ps2_kbd_inst|caps[0]|clk    ;
2616
; 0.465  ; 0.465        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; ps2_kbd_inst|caps[1]|clk    ;
2617
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; clkdiv_inst|clock_100Hz|q   ;
2618
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; clkdiv_inst|clock_100Hz|q   ;
2619
; 0.533  ; 0.533        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; ps2_kbd_inst|caps[0]|clk    ;
2620
; 0.533  ; 0.533        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; ps2_kbd_inst|caps[1]|clk    ;
2621
+--------+--------------+----------------+------------------+---------------------------------+------------+-----------------------------+
2622
 
2623
 
2624
+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
2625
; Slow 1200mV 85C Model Minimum Pulse Width: 'ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set'                                                                                ;
2626
+--------+--------------+----------------+------------------+-------------------------------------------------+------------+--------------------------------------------------+
2627
; Slack  ; Actual Width ; Required Width ; Type             ; Clock                                           ; Clock Edge ; Target                                           ;
2628
+--------+--------------+----------------+------------------+-------------------------------------------------+------------+--------------------------------------------------+
2629
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_ready ;
2630
; 0.286  ; 0.506        ; 0.220          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_ready ;
2631
; 0.303  ; 0.491        ; 0.188          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_ready ;
2632
; 0.456  ; 0.456        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set ; Rise       ; ps2_kbd_inst|kbd_inst|scan_ready|clk             ;
2633
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set ; Rise       ; ps2_kbd_inst|kbd_inst|ready_set|q                ;
2634
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set ; Rise       ; ps2_kbd_inst|kbd_inst|ready_set|q                ;
2635
; 0.542  ; 0.542        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set ; Rise       ; ps2_kbd_inst|kbd_inst|scan_ready|clk             ;
2636
+--------+--------------+----------------+------------------+-------------------------------------------------+------------+--------------------------------------------------+
2637
 
2638
 
2639
+----------------------------------------------------------------------------------------------------------------------+
2640
; Slow 1200mV 85C Model Minimum Pulse Width: 'T80se:z80_inst|MREQ_n'                                                   ;
2641
+-------+--------------+----------------+------------------+-----------------------+------------+----------------------+
2642
; Slack ; Actual Width ; Required Width ; Type             ; Clock                 ; Clock Edge ; Target               ;
2643
+-------+--------------+----------------+------------------+-----------------------+------------+----------------------+
2644
; 0.320 ; 0.320        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[4][3]        ;
2645
; 0.321 ; 0.321        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[15][5]       ;
2646
; 0.327 ; 0.327        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[4][3]|dataa  ;
2647
; 0.332 ; 0.332        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[10][4]       ;
2648
; 0.332 ; 0.332        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[4][0]        ;
2649
; 0.332 ; 0.332        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[4][2]        ;
2650
; 0.332 ; 0.332        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[4][4]        ;
2651
; 0.332 ; 0.332        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[4][6]        ;
2652
; 0.333 ; 0.333        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[10][0]       ;
2653
; 0.336 ; 0.336        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[10][3]       ;
2654
; 0.339 ; 0.339        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[4][0]|datac  ;
2655
; 0.339 ; 0.339        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[4][2]|datac  ;
2656
; 0.339 ; 0.339        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[4][4]|datac  ;
2657
; 0.339 ; 0.339        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[4][6]|datac  ;
2658
; 0.339 ; 0.339        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[8][7]        ;
2659
; 0.340 ; 0.340        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[10][0]|datac ;
2660
; 0.341 ; 0.341        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[15][6]       ;
2661
; 0.342 ; 0.342        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[1][3]        ;
2662
; 0.345 ; 0.345        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[15][6]|datac ;
2663
; 0.350 ; 0.350        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[15][0]       ;
2664
; 0.350 ; 0.350        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[15][5]|datad ;
2665
; 0.351 ; 0.351        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[15][7]       ;
2666
; 0.352 ; 0.352        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[10][1]       ;
2667
; 0.352 ; 0.352        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[13][4]       ;
2668
; 0.355 ; 0.355        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[1][3]|datab  ;
2669
; 0.358 ; 0.358        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[0][6]        ;
2670
; 0.358 ; 0.358        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[2][6]        ;
2671
; 0.361 ; 0.361        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[0][5]        ;
2672
; 0.361 ; 0.361        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[10][4]|datad ;
2673
; 0.361 ; 0.361        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[7][0]        ;
2674
; 0.361 ; 0.361        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[7][2]        ;
2675
; 0.362 ; 0.362        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[7][4]        ;
2676
; 0.362 ; 0.362        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[7][5]        ;
2677
; 0.362 ; 0.362        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[7][6]        ;
2678
; 0.364 ; 0.364        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[13][7]       ;
2679
; 0.364 ; 0.364        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[15][0]|dataa ;
2680
; 0.365 ; 0.365        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[15][7]|dataa ;
2681
; 0.366 ; 0.366        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[2][6]|dataa  ;
2682
; 0.366 ; 0.366        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[4][7]        ;
2683
; 0.367 ; 0.367        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[2][2]|dataa  ;
2684
; 0.368 ; 0.368        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[15][1]       ;
2685
; 0.369 ; 0.369        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[10][2]|datab ;
2686
; 0.369 ; 0.369        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[8][7]|datad  ;
2687
; 0.370 ; 0.370        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[10][3]|datab ;
2688
; 0.370 ; 0.370        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[9][2]        ;
2689
; 0.371 ; 0.371        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[10][1]|datab ;
2690
; 0.371 ; 0.371        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[12][0]       ;
2691
; 0.371 ; 0.371        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[15][2]       ;
2692
; 0.373 ; 0.373        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[10][2]       ;
2693
; 0.373 ; 0.373        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[11][6]       ;
2694
; 0.373 ; 0.373        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[30][2]       ;
2695
; 0.374 ; 0.374        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[4][1]        ;
2696
; 0.374 ; 0.374        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[4][5]        ;
2697
; 0.374 ; 0.374        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[9][2]|datac  ;
2698
; 0.375 ; 0.375        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[15][1]|datac ;
2699
; 0.375 ; 0.375        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[30][0]       ;
2700
; 0.375 ; 0.375        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[30][1]       ;
2701
; 0.375 ; 0.375        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[4][7]|dataa  ;
2702
; 0.377 ; 0.377        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[0][3]        ;
2703
; 0.377 ; 0.377        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[15][4]|datab ;
2704
; 0.378 ; 0.378        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[0][5]|datab  ;
2705
; 0.378 ; 0.378        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[2][4]        ;
2706
; 0.378 ; 0.378        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[3][7]        ;
2707
; 0.379 ; 0.379        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[15][3]       ;
2708
; 0.379 ; 0.379        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[30][4]       ;
2709
; 0.379 ; 0.379        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[30][5]       ;
2710
; 0.379 ; 0.379        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[30][6]       ;
2711
; 0.379 ; 0.379        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[30][7]       ;
2712
; 0.381 ; 0.381        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; Decoder0~44|combout  ;
2713
; 0.381 ; 0.381        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[12][3]       ;
2714
; 0.381 ; 0.381        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[13][4]|datad ;
2715
; 0.381 ; 0.381        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[15][4]       ;
2716
; 0.381 ; 0.381        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[4][1]|datac  ;
2717
; 0.381 ; 0.381        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[4][5]|datac  ;
2718
; 0.382 ; 0.382        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[9][1]        ;
2719
; 0.382 ; 0.382        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[9][5]        ;
2720
; 0.383 ; 0.383        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[10][5]       ;
2721
; 0.383 ; 0.383        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[11][1]       ;
2722
; 0.383 ; 0.383        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[2][3]        ;
2723
; 0.383 ; 0.383        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[2][5]        ;
2724
; 0.384 ; 0.384        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[10][6]       ;
2725
; 0.385 ; 0.385        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[15][2]|dataa ;
2726
; 0.385 ; 0.385        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[15][3]|dataa ;
2727
; 0.385 ; 0.385        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[3][7]|datac  ;
2728
; 0.385 ; 0.385        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[5][0]        ;
2729
; 0.385 ; 0.385        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[5][4]        ;
2730
; 0.385 ; 0.385        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[5][6]        ;
2731
; 0.386 ; 0.386        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[9][3]        ;
2732
; 0.387 ; 0.387        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[0][1]        ;
2733
; 0.387 ; 0.387        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[0][2]        ;
2734
; 0.387 ; 0.387        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[10][5]|datac ;
2735
; 0.387 ; 0.387        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[2][0]        ;
2736
; 0.387 ; 0.387        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[2][1]        ;
2737
; 0.388 ; 0.388        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[0][4]        ;
2738
; 0.388 ; 0.388        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[0][6]|datad  ;
2739
; 0.388 ; 0.388        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[12][3]|datac ;
2740
; 0.388 ; 0.388        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[2][2]        ;
2741
; 0.388 ; 0.388        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[2][7]        ;
2742
; 0.388 ; 0.388        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[8][6]        ;
2743
; 0.389 ; 0.389        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[9][1]|datac  ;
2744
+-------+--------------+----------------+------------------+-----------------------+------------+----------------------+
2745
 
2746
 
2747
+----------------------------------------------------------------------------------------------------------------------------------------------------------------------+
2748
; Setup Times                                                                                                                                                          ;
2749
+-------------+-------------------------------------------------------------+-------+-------+------------+-------------------------------------------------------------+
2750
; Data Port   ; Clock Port                                                  ; Rise  ; Fall  ; Clock Edge ; Clock Reference                                             ;
2751
+-------------+-------------------------------------------------------------+-------+-------+------------+-------------------------------------------------------------+
2752
; PS2_CLK     ; CLOCK_50                                                    ; 3.471 ; 4.087 ; Rise       ; CLOCK_50                                                    ;
2753
; SW[*]       ; CLOCK_50                                                    ; 4.964 ; 5.578 ; Rise       ; CLOCK_50                                                    ;
2754
;  SW[17]     ; CLOCK_50                                                    ; 4.964 ; 5.578 ; Rise       ; CLOCK_50                                                    ;
2755
; KEY[*]      ; SW[16]                                                      ; 3.535 ; 3.995 ; Rise       ; SW[16]                                                      ;
2756
;  KEY[0]     ; SW[16]                                                      ; 3.535 ; 3.995 ; Rise       ; SW[16]                                                      ;
2757
;  KEY[1]     ; SW[16]                                                      ; 3.009 ; 3.453 ; Rise       ; SW[16]                                                      ;
2758
;  KEY[2]     ; SW[16]                                                      ; 2.703 ; 3.122 ; Rise       ; SW[16]                                                      ;
2759
;  KEY[3]     ; SW[16]                                                      ; 1.443 ; 1.925 ; Rise       ; SW[16]                                                      ;
2760
; SRAM_DQ[*]  ; SW[16]                                                      ; 3.187 ; 3.715 ; Rise       ; SW[16]                                                      ;
2761
;  SRAM_DQ[0] ; SW[16]                                                      ; 3.187 ; 3.715 ; Rise       ; SW[16]                                                      ;
2762
;  SRAM_DQ[1] ; SW[16]                                                      ; 2.894 ; 3.578 ; Rise       ; SW[16]                                                      ;
2763
;  SRAM_DQ[2] ; SW[16]                                                      ; 2.040 ; 2.782 ; Rise       ; SW[16]                                                      ;
2764
;  SRAM_DQ[3] ; SW[16]                                                      ; 2.211 ; 2.887 ; Rise       ; SW[16]                                                      ;
2765
;  SRAM_DQ[4] ; SW[16]                                                      ; 1.721 ; 2.361 ; Rise       ; SW[16]                                                      ;
2766
;  SRAM_DQ[5] ; SW[16]                                                      ; 1.683 ; 2.273 ; Rise       ; SW[16]                                                      ;
2767
;  SRAM_DQ[6] ; SW[16]                                                      ; 2.375 ; 3.020 ; Rise       ; SW[16]                                                      ;
2768
;  SRAM_DQ[7] ; SW[16]                                                      ; 2.236 ; 2.880 ; Rise       ; SW[16]                                                      ;
2769
; SW[*]       ; SW[16]                                                      ; 3.486 ; 4.029 ; Rise       ; SW[16]                                                      ;
2770
;  SW[0]      ; SW[16]                                                      ; 3.486 ; 4.029 ; Rise       ; SW[16]                                                      ;
2771
;  SW[1]      ; SW[16]                                                      ; 2.069 ; 2.605 ; Rise       ; SW[16]                                                      ;
2772
;  SW[2]      ; SW[16]                                                      ; 2.824 ; 3.455 ; Rise       ; SW[16]                                                      ;
2773
;  SW[3]      ; SW[16]                                                      ; 1.900 ; 2.535 ; Rise       ; SW[16]                                                      ;
2774
;  SW[4]      ; SW[16]                                                      ; 0.995 ; 1.562 ; Rise       ; SW[16]                                                      ;
2775
;  SW[5]      ; SW[16]                                                      ; 1.318 ; 1.894 ; Rise       ; SW[16]                                                      ;
2776
;  SW[6]      ; SW[16]                                                      ; 2.065 ; 2.672 ; Rise       ; SW[16]                                                      ;
2777
;  SW[7]      ; SW[16]                                                      ; 1.980 ; 2.580 ; Rise       ; SW[16]                                                      ;
2778
;  SW[8]      ; SW[16]                                                      ; 3.184 ; 3.696 ; Rise       ; SW[16]                                                      ;
2779
;  SW[9]      ; SW[16]                                                      ; 2.968 ; 3.634 ; Rise       ; SW[16]                                                      ;
2780
;  SW[10]     ; SW[16]                                                      ; 2.391 ; 3.038 ; Rise       ; SW[16]                                                      ;
2781
;  SW[11]     ; SW[16]                                                      ; 3.066 ; 3.669 ; Rise       ; SW[16]                                                      ;
2782
;  SW[12]     ; SW[16]                                                      ; 1.158 ; 1.696 ; Rise       ; SW[16]                                                      ;
2783
;  SW[13]     ; SW[16]                                                      ; 1.949 ; 2.524 ; Rise       ; SW[16]                                                      ;
2784
;  SW[14]     ; SW[16]                                                      ; 2.015 ; 2.595 ; Rise       ; SW[16]                                                      ;
2785
;  SW[15]     ; SW[16]                                                      ; 2.192 ; 2.775 ; Rise       ; SW[16]                                                      ;
2786
; PS2_DAT     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 2.663 ; 3.183 ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ;
2787
; SW[*]       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 3.701 ; 4.343 ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ;
2788
;  SW[17]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 3.701 ; 4.343 ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ;
2789
+-------------+-------------------------------------------------------------+-------+-------+------------+-------------------------------------------------------------+
2790
 
2791
 
2792
+------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
2793
; Hold Times                                                                                                                                                             ;
2794
+-------------+-------------------------------------------------------------+--------+--------+------------+-------------------------------------------------------------+
2795
; Data Port   ; Clock Port                                                  ; Rise   ; Fall   ; Clock Edge ; Clock Reference                                             ;
2796
+-------------+-------------------------------------------------------------+--------+--------+------------+-------------------------------------------------------------+
2797
; PS2_CLK     ; CLOCK_50                                                    ; -2.953 ; -3.548 ; Rise       ; CLOCK_50                                                    ;
2798
; SW[*]       ; CLOCK_50                                                    ; -2.864 ; -3.366 ; Rise       ; CLOCK_50                                                    ;
2799
;  SW[17]     ; CLOCK_50                                                    ; -2.864 ; -3.366 ; Rise       ; CLOCK_50                                                    ;
2800
; KEY[*]      ; SW[16]                                                      ; -0.111 ; -0.612 ; Rise       ; SW[16]                                                      ;
2801
;  KEY[0]     ; SW[16]                                                      ; -2.291 ; -2.754 ; Rise       ; SW[16]                                                      ;
2802
;  KEY[1]     ; SW[16]                                                      ; -1.690 ; -2.169 ; Rise       ; SW[16]                                                      ;
2803
;  KEY[2]     ; SW[16]                                                      ; -1.440 ; -1.862 ; Rise       ; SW[16]                                                      ;
2804
;  KEY[3]     ; SW[16]                                                      ; -0.111 ; -0.612 ; Rise       ; SW[16]                                                      ;
2805
; SRAM_DQ[*]  ; SW[16]                                                      ; -0.656 ; -1.224 ; Rise       ; SW[16]                                                      ;
2806
;  SRAM_DQ[0] ; SW[16]                                                      ; -2.121 ; -2.612 ; Rise       ; SW[16]                                                      ;
2807
;  SRAM_DQ[1] ; SW[16]                                                      ; -1.659 ; -2.290 ; Rise       ; SW[16]                                                      ;
2808
;  SRAM_DQ[2] ; SW[16]                                                      ; -0.943 ; -1.620 ; Rise       ; SW[16]                                                      ;
2809
;  SRAM_DQ[3] ; SW[16]                                                      ; -0.890 ; -1.540 ; Rise       ; SW[16]                                                      ;
2810
;  SRAM_DQ[4] ; SW[16]                                                      ; -0.695 ; -1.311 ; Rise       ; SW[16]                                                      ;
2811
;  SRAM_DQ[5] ; SW[16]                                                      ; -0.656 ; -1.224 ; Rise       ; SW[16]                                                      ;
2812
;  SRAM_DQ[6] ; SW[16]                                                      ; -1.262 ; -1.863 ; Rise       ; SW[16]                                                      ;
2813
;  SRAM_DQ[7] ; SW[16]                                                      ; -1.174 ; -1.784 ; Rise       ; SW[16]                                                      ;
2814
; SW[*]       ; SW[16]                                                      ; -0.035 ; -0.575 ; Rise       ; SW[16]                                                      ;
2815
;  SW[0]      ; SW[16]                                                      ; -2.278 ; -2.795 ; Rise       ; SW[16]                                                      ;
2816
;  SW[1]      ; SW[16]                                                      ; -0.915 ; -1.397 ; Rise       ; SW[16]                                                      ;
2817
;  SW[2]      ; SW[16]                                                      ; -1.574 ; -2.161 ; Rise       ; SW[16]                                                      ;
2818
;  SW[3]      ; SW[16]                                                      ; -0.598 ; -1.207 ; Rise       ; SW[16]                                                      ;
2819
;  SW[4]      ; SW[16]                                                      ; -0.035 ; -0.575 ; Rise       ; SW[16]                                                      ;
2820
;  SW[5]      ; SW[16]                                                      ; -0.325 ; -0.865 ; Rise       ; SW[16]                                                      ;
2821
;  SW[6]      ; SW[16]                                                      ; -1.016 ; -1.567 ; Rise       ; SW[16]                                                      ;
2822
;  SW[7]      ; SW[16]                                                      ; -1.007 ; -1.523 ; Rise       ; SW[16]                                                      ;
2823
;  SW[8]      ; SW[16]                                                      ; -2.124 ; -2.592 ; Rise       ; SW[16]                                                      ;
2824
;  SW[9]      ; SW[16]                                                      ; -1.699 ; -2.295 ; Rise       ; SW[16]                                                      ;
2825
;  SW[10]     ; SW[16]                                                      ; -1.282 ; -1.857 ; Rise       ; SW[16]                                                      ;
2826
;  SW[11]     ; SW[16]                                                      ; -1.690 ; -2.229 ; Rise       ; SW[16]                                                      ;
2827
;  SW[12]     ; SW[16]                                                      ; -0.192 ; -0.703 ; Rise       ; SW[16]                                                      ;
2828
;  SW[13]     ; SW[16]                                                      ; -0.935 ; -1.473 ; Rise       ; SW[16]                                                      ;
2829
;  SW[14]     ; SW[16]                                                      ; -0.967 ; -1.494 ; Rise       ; SW[16]                                                      ;
2830
;  SW[15]     ; SW[16]                                                      ; -1.210 ; -1.714 ; Rise       ; SW[16]                                                      ;
2831
; PS2_DAT     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; -0.601 ; -1.097 ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ;
2832
; SW[*]       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; -1.268 ; -1.830 ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ;
2833
;  SW[17]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; -1.268 ; -1.830 ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ;
2834
+-------------+-------------------------------------------------------------+--------+--------+------------+-------------------------------------------------------------+
2835
 
2836
 
2837
+-------------------------------------------------------------------------------------------------------------------+
2838
; Clock to Output Times                                                                                             ;
2839
+----------------+---------------------------------+--------+--------+------------+---------------------------------+
2840
; Data Port      ; Clock Port                      ; Rise   ; Fall   ; Clock Edge ; Clock Reference                 ;
2841
+----------------+---------------------------------+--------+--------+------------+---------------------------------+
2842
; LCD_DATA[*]    ; CLOCK_50                        ; 12.101 ; 12.194 ; Rise       ; CLOCK_50                        ;
2843
;  LCD_DATA[0]   ; CLOCK_50                        ; 11.677 ; 11.624 ; Rise       ; CLOCK_50                        ;
2844
;  LCD_DATA[1]   ; CLOCK_50                        ; 10.413 ; 10.465 ; Rise       ; CLOCK_50                        ;
2845
;  LCD_DATA[2]   ; CLOCK_50                        ; 12.101 ; 12.194 ; Rise       ; CLOCK_50                        ;
2846
;  LCD_DATA[3]   ; CLOCK_50                        ; 12.028 ; 12.082 ; Rise       ; CLOCK_50                        ;
2847
;  LCD_DATA[4]   ; CLOCK_50                        ; 11.205 ; 11.069 ; Rise       ; CLOCK_50                        ;
2848
;  LCD_DATA[5]   ; CLOCK_50                        ; 11.922 ; 12.054 ; Rise       ; CLOCK_50                        ;
2849
;  LCD_DATA[6]   ; CLOCK_50                        ; 9.577  ; 9.604  ; Rise       ; CLOCK_50                        ;
2850
;  LCD_DATA[7]   ; CLOCK_50                        ; 11.973 ; 11.696 ; Rise       ; CLOCK_50                        ;
2851
; LCD_EN         ; CLOCK_50                        ; 12.660 ; 12.724 ; Rise       ; CLOCK_50                        ;
2852
; LCD_ON         ; CLOCK_50                        ; 12.355 ; 12.166 ; Rise       ; CLOCK_50                        ;
2853
; LCD_RS         ; CLOCK_50                        ; 10.888 ; 10.941 ; Rise       ; CLOCK_50                        ;
2854
; HEX0[*]        ; SW[16]                          ; 16.668 ; 16.602 ; Rise       ; SW[16]                          ;
2855
;  HEX0[0]       ; SW[16]                          ; 15.160 ; 15.106 ; Rise       ; SW[16]                          ;
2856
;  HEX0[1]       ; SW[16]                          ; 16.514 ; 16.602 ; Rise       ; SW[16]                          ;
2857
;  HEX0[2]       ; SW[16]                          ; 15.399 ; 15.255 ; Rise       ; SW[16]                          ;
2858
;  HEX0[3]       ; SW[16]                          ; 14.486 ; 14.482 ; Rise       ; SW[16]                          ;
2859
;  HEX0[4]       ; SW[16]                          ; 14.339 ; 14.258 ; Rise       ; SW[16]                          ;
2860
;  HEX0[5]       ; SW[16]                          ; 16.668 ; 16.333 ; Rise       ; SW[16]                          ;
2861
;  HEX0[6]       ; SW[16]                          ; 14.635 ; 14.648 ; Rise       ; SW[16]                          ;
2862
; HEX1[*]        ; SW[16]                          ; 17.065 ; 16.534 ; Rise       ; SW[16]                          ;
2863
;  HEX1[0]       ; SW[16]                          ; 14.269 ; 14.121 ; Rise       ; SW[16]                          ;
2864
;  HEX1[1]       ; SW[16]                          ; 15.510 ; 15.167 ; Rise       ; SW[16]                          ;
2865
;  HEX1[2]       ; SW[16]                          ; 14.481 ; 14.545 ; Rise       ; SW[16]                          ;
2866
;  HEX1[3]       ; SW[16]                          ; 15.065 ; 14.833 ; Rise       ; SW[16]                          ;
2867
;  HEX1[4]       ; SW[16]                          ; 14.519 ; 14.445 ; Rise       ; SW[16]                          ;
2868
;  HEX1[5]       ; SW[16]                          ; 17.065 ; 16.534 ; Rise       ; SW[16]                          ;
2869
;  HEX1[6]       ; SW[16]                          ; 15.367 ; 15.681 ; Rise       ; SW[16]                          ;
2870
; HEX2[*]        ; SW[16]                          ; 15.489 ; 15.085 ; Rise       ; SW[16]                          ;
2871
;  HEX2[0]       ; SW[16]                          ; 14.939 ; 14.585 ; Rise       ; SW[16]                          ;
2872
;  HEX2[1]       ; SW[16]                          ; 13.890 ; 13.753 ; Rise       ; SW[16]                          ;
2873
;  HEX2[2]       ; SW[16]                          ; 15.489 ; 15.085 ; Rise       ; SW[16]                          ;
2874
;  HEX2[3]       ; SW[16]                          ; 15.424 ; 15.066 ; Rise       ; SW[16]                          ;
2875
;  HEX2[4]       ; SW[16]                          ; 15.430 ; 14.970 ; Rise       ; SW[16]                          ;
2876
;  HEX2[5]       ; SW[16]                          ; 14.231 ; 14.026 ; Rise       ; SW[16]                          ;
2877
;  HEX2[6]       ; SW[16]                          ; 13.963 ; 14.083 ; Rise       ; SW[16]                          ;
2878
; HEX3[*]        ; SW[16]                          ; 17.577 ; 16.891 ; Rise       ; SW[16]                          ;
2879
;  HEX3[0]       ; SW[16]                          ; 15.492 ; 15.147 ; Rise       ; SW[16]                          ;
2880
;  HEX3[1]       ; SW[16]                          ; 14.992 ; 14.907 ; Rise       ; SW[16]                          ;
2881
;  HEX3[2]       ; SW[16]                          ; 17.577 ; 16.891 ; Rise       ; SW[16]                          ;
2882
;  HEX3[3]       ; SW[16]                          ; 14.618 ; 14.525 ; Rise       ; SW[16]                          ;
2883
;  HEX3[4]       ; SW[16]                          ; 15.496 ; 15.368 ; Rise       ; SW[16]                          ;
2884
;  HEX3[5]       ; SW[16]                          ; 14.818 ; 14.764 ; Rise       ; SW[16]                          ;
2885
;  HEX3[6]       ; SW[16]                          ; 13.989 ; 14.036 ; Rise       ; SW[16]                          ;
2886
; HEX4[*]        ; SW[16]                          ; 14.796 ; 14.549 ; Rise       ; SW[16]                          ;
2887
;  HEX4[0]       ; SW[16]                          ; 14.796 ; 14.549 ; Rise       ; SW[16]                          ;
2888
;  HEX4[1]       ; SW[16]                          ; 14.639 ; 14.502 ; Rise       ; SW[16]                          ;
2889
;  HEX4[2]       ; SW[16]                          ; 13.392 ; 13.168 ; Rise       ; SW[16]                          ;
2890
;  HEX4[3]       ; SW[16]                          ; 13.337 ; 13.232 ; Rise       ; SW[16]                          ;
2891
;  HEX4[4]       ; SW[16]                          ; 13.584 ; 13.492 ; Rise       ; SW[16]                          ;
2892
;  HEX4[5]       ; SW[16]                          ; 13.439 ; 13.259 ; Rise       ; SW[16]                          ;
2893
;  HEX4[6]       ; SW[16]                          ; 12.934 ; 13.005 ; Rise       ; SW[16]                          ;
2894
; HEX5[*]        ; SW[16]                          ; 15.859 ; 15.492 ; Rise       ; SW[16]                          ;
2895
;  HEX5[0]       ; SW[16]                          ; 14.806 ; 14.597 ; Rise       ; SW[16]                          ;
2896
;  HEX5[1]       ; SW[16]                          ; 15.859 ; 15.492 ; Rise       ; SW[16]                          ;
2897
;  HEX5[2]       ; SW[16]                          ; 13.976 ; 13.818 ; Rise       ; SW[16]                          ;
2898
;  HEX5[3]       ; SW[16]                          ; 14.280 ; 14.059 ; Rise       ; SW[16]                          ;
2899
;  HEX5[4]       ; SW[16]                          ; 13.948 ; 13.840 ; Rise       ; SW[16]                          ;
2900
;  HEX5[5]       ; SW[16]                          ; 14.741 ; 14.481 ; Rise       ; SW[16]                          ;
2901
;  HEX5[6]       ; SW[16]                          ; 13.905 ; 13.996 ; Rise       ; SW[16]                          ;
2902
; HEX6[*]        ; SW[16]                          ; 14.441 ; 13.951 ; Rise       ; SW[16]                          ;
2903
;  HEX6[0]       ; SW[16]                          ; 13.474 ; 13.255 ; Rise       ; SW[16]                          ;
2904
;  HEX6[1]       ; SW[16]                          ; 12.329 ; 12.189 ; Rise       ; SW[16]                          ;
2905
;  HEX6[2]       ; SW[16]                          ; 12.337 ; 12.136 ; Rise       ; SW[16]                          ;
2906
;  HEX6[3]       ; SW[16]                          ; 13.793 ; 13.538 ; Rise       ; SW[16]                          ;
2907
;  HEX6[4]       ; SW[16]                          ; 12.357 ; 12.209 ; Rise       ; SW[16]                          ;
2908
;  HEX6[5]       ; SW[16]                          ; 14.441 ; 13.951 ; Rise       ; SW[16]                          ;
2909
;  HEX6[6]       ; SW[16]                          ; 12.577 ; 12.738 ; Rise       ; SW[16]                          ;
2910
; HEX7[*]        ; SW[16]                          ; 12.973 ; 13.113 ; Rise       ; SW[16]                          ;
2911
;  HEX7[0]       ; SW[16]                          ; 12.845 ; 12.622 ; Rise       ; SW[16]                          ;
2912
;  HEX7[1]       ; SW[16]                          ; 12.493 ; 12.346 ; Rise       ; SW[16]                          ;
2913
;  HEX7[2]       ; SW[16]                          ; 12.679 ; 12.468 ; Rise       ; SW[16]                          ;
2914
;  HEX7[3]       ; SW[16]                          ; 12.700 ; 12.509 ; Rise       ; SW[16]                          ;
2915
;  HEX7[4]       ; SW[16]                          ; 12.614 ; 12.463 ; Rise       ; SW[16]                          ;
2916
;  HEX7[5]       ; SW[16]                          ; 12.563 ; 12.428 ; Rise       ; SW[16]                          ;
2917
;  HEX7[6]       ; SW[16]                          ; 12.973 ; 13.113 ; Rise       ; SW[16]                          ;
2918
; LEDG[*]        ; SW[16]                          ; 17.819 ; 17.770 ; Rise       ; SW[16]                          ;
2919
;  LEDG[0]       ; SW[16]                          ; 13.682 ; 13.745 ; Rise       ; SW[16]                          ;
2920
;  LEDG[1]       ; SW[16]                          ; 17.819 ; 17.770 ; Rise       ; SW[16]                          ;
2921
;  LEDG[2]       ; SW[16]                          ; 15.545 ; 15.513 ; Rise       ; SW[16]                          ;
2922
;  LEDG[3]       ; SW[16]                          ; 14.186 ; 14.170 ; Rise       ; SW[16]                          ;
2923
;  LEDG[4]       ; SW[16]                          ; 14.877 ; 14.788 ; Rise       ; SW[16]                          ;
2924
;  LEDG[5]       ; SW[16]                          ; 13.644 ; 13.626 ; Rise       ; SW[16]                          ;
2925
;  LEDG[6]       ; SW[16]                          ; 14.793 ; 14.689 ; Rise       ; SW[16]                          ;
2926
;  LEDG[7]       ; SW[16]                          ; 15.167 ; 15.052 ; Rise       ; SW[16]                          ;
2927
; LEDR[*]        ; SW[16]                          ; 18.072 ; 18.145 ; Rise       ; SW[16]                          ;
2928
;  LEDR[0]       ; SW[16]                          ; 13.418 ; 13.416 ; Rise       ; SW[16]                          ;
2929
;  LEDR[1]       ; SW[16]                          ; 16.792 ; 16.571 ; Rise       ; SW[16]                          ;
2930
;  LEDR[2]       ; SW[16]                          ; 18.072 ; 18.145 ; Rise       ; SW[16]                          ;
2931
;  LEDR[3]       ; SW[16]                          ; 14.720 ; 14.793 ; Rise       ; SW[16]                          ;
2932
;  LEDR[4]       ; SW[16]                          ; 13.956 ; 13.997 ; Rise       ; SW[16]                          ;
2933
;  LEDR[5]       ; SW[16]                          ; 16.228 ; 16.040 ; Rise       ; SW[16]                          ;
2934
;  LEDR[6]       ; SW[16]                          ; 14.393 ; 14.483 ; Rise       ; SW[16]                          ;
2935
;  LEDR[7]       ; SW[16]                          ; 15.082 ; 14.973 ; Rise       ; SW[16]                          ;
2936
;  LEDR[8]       ; SW[16]                          ; 15.090 ; 14.971 ; Rise       ; SW[16]                          ;
2937
;  LEDR[9]       ; SW[16]                          ; 14.815 ; 14.984 ; Rise       ; SW[16]                          ;
2938
;  LEDR[10]      ; SW[16]                          ; 14.881 ; 14.800 ; Rise       ; SW[16]                          ;
2939
;  LEDR[11]      ; SW[16]                          ; 14.535 ; 14.455 ; Rise       ; SW[16]                          ;
2940
;  LEDR[12]      ; SW[16]                          ; 14.762 ; 14.701 ; Rise       ; SW[16]                          ;
2941
;  LEDR[13]      ; SW[16]                          ; 14.941 ; 14.851 ; Rise       ; SW[16]                          ;
2942
;  LEDR[14]      ; SW[16]                          ; 15.196 ; 15.109 ; Rise       ; SW[16]                          ;
2943
;  LEDR[15]      ; SW[16]                          ; 15.916 ; 15.945 ; Rise       ; SW[16]                          ;
2944
; SRAM_ADDR[*]   ; SW[16]                          ; 15.598 ; 16.153 ; Rise       ; SW[16]                          ;
2945
;  SRAM_ADDR[0]  ; SW[16]                          ; 11.065 ; 11.106 ; Rise       ; SW[16]                          ;
2946
;  SRAM_ADDR[1]  ; SW[16]                          ; 11.115 ; 11.175 ; Rise       ; SW[16]                          ;
2947
;  SRAM_ADDR[2]  ; SW[16]                          ; 12.561 ; 12.711 ; Rise       ; SW[16]                          ;
2948
;  SRAM_ADDR[3]  ; SW[16]                          ; 11.967 ; 12.049 ; Rise       ; SW[16]                          ;
2949
;  SRAM_ADDR[4]  ; SW[16]                          ; 12.514 ; 12.623 ; Rise       ; SW[16]                          ;
2950
;  SRAM_ADDR[5]  ; SW[16]                          ; 12.048 ; 12.151 ; Rise       ; SW[16]                          ;
2951
;  SRAM_ADDR[6]  ; SW[16]                          ; 11.275 ; 11.359 ; Rise       ; SW[16]                          ;
2952
;  SRAM_ADDR[7]  ; SW[16]                          ; 11.533 ; 11.701 ; Rise       ; SW[16]                          ;
2953
;  SRAM_ADDR[8]  ; SW[16]                          ; 12.075 ; 12.077 ; Rise       ; SW[16]                          ;
2954
;  SRAM_ADDR[9]  ; SW[16]                          ; 12.681 ; 12.349 ; Rise       ; SW[16]                          ;
2955
;  SRAM_ADDR[10] ; SW[16]                          ; 12.081 ; 12.080 ; Rise       ; SW[16]                          ;
2956
;  SRAM_ADDR[11] ; SW[16]                          ; 10.611 ; 10.691 ; Rise       ; SW[16]                          ;
2957
;  SRAM_ADDR[12] ; SW[16]                          ; 13.453 ; 13.208 ; Rise       ; SW[16]                          ;
2958
;  SRAM_ADDR[13] ; SW[16]                          ; 11.259 ; 11.247 ; Rise       ; SW[16]                          ;
2959
;  SRAM_ADDR[14] ; SW[16]                          ; 12.633 ; 12.614 ; Rise       ; SW[16]                          ;
2960
;  SRAM_ADDR[15] ; SW[16]                          ; 15.598 ; 16.153 ; Rise       ; SW[16]                          ;
2961
; SRAM_DQ[*]     ; SW[16]                          ; 16.090 ; 16.113 ; Rise       ; SW[16]                          ;
2962
;  SRAM_DQ[0]    ; SW[16]                          ; 13.854 ; 13.885 ; Rise       ; SW[16]                          ;
2963
;  SRAM_DQ[1]    ; SW[16]                          ; 14.381 ; 14.508 ; Rise       ; SW[16]                          ;
2964
;  SRAM_DQ[2]    ; SW[16]                          ; 16.090 ; 16.113 ; Rise       ; SW[16]                          ;
2965
;  SRAM_DQ[3]    ; SW[16]                          ; 14.943 ; 15.007 ; Rise       ; SW[16]                          ;
2966
;  SRAM_DQ[4]    ; SW[16]                          ; 15.535 ; 15.596 ; Rise       ; SW[16]                          ;
2967
;  SRAM_DQ[5]    ; SW[16]                          ; 14.532 ; 14.589 ; Rise       ; SW[16]                          ;
2968
;  SRAM_DQ[6]    ; SW[16]                          ; 15.659 ; 15.622 ; Rise       ; SW[16]                          ;
2969
;  SRAM_DQ[7]    ; SW[16]                          ; 13.605 ; 13.666 ; Rise       ; SW[16]                          ;
2970
; SRAM_OE_N      ; SW[16]                          ; 14.827 ; 14.846 ; Rise       ; SW[16]                          ;
2971
; SRAM_WE_N      ; SW[16]                          ; 13.161 ; 13.070 ; Rise       ; SW[16]                          ;
2972
; SRAM_OE_N      ; T80se:z80_inst|MREQ_n           ;        ; 7.604  ; Rise       ; T80se:z80_inst|MREQ_n           ;
2973
; SRAM_WE_N      ; T80se:z80_inst|MREQ_n           ;        ; 8.134  ; Rise       ; T80se:z80_inst|MREQ_n           ;
2974
; SRAM_OE_N      ; T80se:z80_inst|MREQ_n           ; 7.616  ;        ; Fall       ; T80se:z80_inst|MREQ_n           ;
2975
; SRAM_WE_N      ; T80se:z80_inst|MREQ_n           ; 8.241  ;        ; Fall       ; T80se:z80_inst|MREQ_n           ;
2976
; VGA_B[*]       ; clk_div:clkdiv_inst|clock_25MHz ; 11.260 ; 11.126 ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
2977
;  VGA_B[4]      ; clk_div:clkdiv_inst|clock_25MHz ; 10.554 ; 10.391 ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
2978
;  VGA_B[5]      ; clk_div:clkdiv_inst|clock_25MHz ; 9.970  ; 9.845  ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
2979
;  VGA_B[6]      ; clk_div:clkdiv_inst|clock_25MHz ; 11.260 ; 11.126 ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
2980
;  VGA_B[7]      ; clk_div:clkdiv_inst|clock_25MHz ; 10.276 ; 10.132 ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
2981
; VGA_CLK        ; clk_div:clkdiv_inst|clock_25MHz ; 4.024  ;        ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
2982
; VGA_HS         ; clk_div:clkdiv_inst|clock_25MHz ; 8.702  ; 8.721  ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
2983
; VGA_VS         ; clk_div:clkdiv_inst|clock_25MHz ; 8.116  ; 8.093  ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
2984
; VGA_CLK        ; clk_div:clkdiv_inst|clock_25MHz ;        ; 3.908  ; Fall       ; clk_div:clkdiv_inst|clock_25MHz ;
2985
+----------------+---------------------------------+--------+--------+------------+---------------------------------+
2986
 
2987
 
2988
+-------------------------------------------------------------------------------------------------------------------+
2989
; Minimum Clock to Output Times                                                                                     ;
2990
+----------------+---------------------------------+--------+--------+------------+---------------------------------+
2991
; Data Port      ; Clock Port                      ; Rise   ; Fall   ; Clock Edge ; Clock Reference                 ;
2992
+----------------+---------------------------------+--------+--------+------------+---------------------------------+
2993
; LCD_DATA[*]    ; CLOCK_50                        ; 9.237  ; 9.259  ; Rise       ; CLOCK_50                        ;
2994
;  LCD_DATA[0]   ; CLOCK_50                        ; 11.253 ; 11.199 ; Rise       ; CLOCK_50                        ;
2995
;  LCD_DATA[1]   ; CLOCK_50                        ; 10.040 ; 10.086 ; Rise       ; CLOCK_50                        ;
2996
;  LCD_DATA[2]   ; CLOCK_50                        ; 11.661 ; 11.747 ; Rise       ; CLOCK_50                        ;
2997
;  LCD_DATA[3]   ; CLOCK_50                        ; 11.586 ; 11.641 ; Rise       ; CLOCK_50                        ;
2998
;  LCD_DATA[4]   ; CLOCK_50                        ; 10.797 ; 10.669 ; Rise       ; CLOCK_50                        ;
2999
;  LCD_DATA[5]   ; CLOCK_50                        ; 11.485 ; 11.615 ; Rise       ; CLOCK_50                        ;
3000
;  LCD_DATA[6]   ; CLOCK_50                        ; 9.237  ; 9.259  ; Rise       ; CLOCK_50                        ;
3001
;  LCD_DATA[7]   ; CLOCK_50                        ; 11.613 ; 11.332 ; Rise       ; CLOCK_50                        ;
3002
; LCD_EN         ; CLOCK_50                        ; 12.192 ; 12.257 ; Rise       ; CLOCK_50                        ;
3003
; LCD_ON         ; CLOCK_50                        ; 11.981 ; 11.784 ; Rise       ; CLOCK_50                        ;
3004
; LCD_RS         ; CLOCK_50                        ; 10.496 ; 10.543 ; Rise       ; CLOCK_50                        ;
3005
; HEX0[*]        ; SW[16]                          ; 13.334 ; 13.271 ; Rise       ; SW[16]                          ;
3006
;  HEX0[0]       ; SW[16]                          ; 14.216 ; 14.107 ; Rise       ; SW[16]                          ;
3007
;  HEX0[1]       ; SW[16]                          ; 15.575 ; 15.604 ; Rise       ; SW[16]                          ;
3008
;  HEX0[2]       ; SW[16]                          ; 14.478 ; 14.268 ; Rise       ; SW[16]                          ;
3009
;  HEX0[3]       ; SW[16]                          ; 13.468 ; 13.436 ; Rise       ; SW[16]                          ;
3010
;  HEX0[4]       ; SW[16]                          ; 13.334 ; 13.271 ; Rise       ; SW[16]                          ;
3011
;  HEX0[5]       ; SW[16]                          ; 15.634 ; 15.316 ; Rise       ; SW[16]                          ;
3012
;  HEX0[6]       ; SW[16]                          ; 13.608 ; 13.648 ; Rise       ; SW[16]                          ;
3013
; HEX1[*]        ; SW[16]                          ; 13.298 ; 13.171 ; Rise       ; SW[16]                          ;
3014
;  HEX1[0]       ; SW[16]                          ; 13.298 ; 13.171 ; Rise       ; SW[16]                          ;
3015
;  HEX1[1]       ; SW[16]                          ; 14.519 ; 14.158 ; Rise       ; SW[16]                          ;
3016
;  HEX1[2]       ; SW[16]                          ; 13.594 ; 13.635 ; Rise       ; SW[16]                          ;
3017
;  HEX1[3]       ; SW[16]                          ; 14.018 ; 13.804 ; Rise       ; SW[16]                          ;
3018
;  HEX1[4]       ; SW[16]                          ; 13.508 ; 13.442 ; Rise       ; SW[16]                          ;
3019
;  HEX1[5]       ; SW[16]                          ; 16.010 ; 15.502 ; Rise       ; SW[16]                          ;
3020
;  HEX1[6]       ; SW[16]                          ; 14.380 ; 14.742 ; Rise       ; SW[16]                          ;
3021
; HEX2[*]        ; SW[16]                          ; 12.969 ; 12.805 ; Rise       ; SW[16]                          ;
3022
;  HEX2[0]       ; SW[16]                          ; 13.952 ; 13.620 ; Rise       ; SW[16]                          ;
3023
;  HEX2[1]       ; SW[16]                          ; 12.969 ; 12.805 ; Rise       ; SW[16]                          ;
3024
;  HEX2[2]       ; SW[16]                          ; 14.502 ; 14.213 ; Rise       ; SW[16]                          ;
3025
;  HEX2[3]       ; SW[16]                          ; 14.379 ; 14.017 ; Rise       ; SW[16]                          ;
3026
;  HEX2[4]       ; SW[16]                          ; 14.404 ; 14.043 ; Rise       ; SW[16]                          ;
3027
;  HEX2[5]       ; SW[16]                          ; 13.222 ; 13.041 ; Rise       ; SW[16]                          ;
3028
;  HEX2[6]       ; SW[16]                          ; 12.969 ; 13.112 ; Rise       ; SW[16]                          ;
3029
; HEX3[*]        ; SW[16]                          ; 12.974 ; 13.067 ; Rise       ; SW[16]                          ;
3030
;  HEX3[0]       ; SW[16]                          ; 14.481 ; 14.154 ; Rise       ; SW[16]                          ;
3031
;  HEX3[1]       ; SW[16]                          ; 14.019 ; 13.908 ; Rise       ; SW[16]                          ;
3032
;  HEX3[2]       ; SW[16]                          ; 16.586 ; 16.006 ; Rise       ; SW[16]                          ;
3033
;  HEX3[3]       ; SW[16]                          ; 13.587 ; 13.506 ; Rise       ; SW[16]                          ;
3034
;  HEX3[4]       ; SW[16]                          ; 13.966 ; 13.830 ; Rise       ; SW[16]                          ;
3035
;  HEX3[5]       ; SW[16]                          ; 13.314 ; 13.239 ; Rise       ; SW[16]                          ;
3036
;  HEX3[6]       ; SW[16]                          ; 12.974 ; 13.067 ; Rise       ; SW[16]                          ;
3037
; HEX4[*]        ; SW[16]                          ; 11.963 ; 12.083 ; Rise       ; SW[16]                          ;
3038
;  HEX4[0]       ; SW[16]                          ; 13.819 ; 13.585 ; Rise       ; SW[16]                          ;
3039
;  HEX4[1]       ; SW[16]                          ; 13.760 ; 13.521 ; Rise       ; SW[16]                          ;
3040
;  HEX4[2]       ; SW[16]                          ; 12.510 ; 12.370 ; Rise       ; SW[16]                          ;
3041
;  HEX4[3]       ; SW[16]                          ; 12.305 ; 12.115 ; Rise       ; SW[16]                          ;
3042
;  HEX4[4]       ; SW[16]                          ; 12.655 ; 12.530 ; Rise       ; SW[16]                          ;
3043
;  HEX4[5]       ; SW[16]                          ; 12.511 ; 12.295 ; Rise       ; SW[16]                          ;
3044
;  HEX4[6]       ; SW[16]                          ; 11.963 ; 12.083 ; Rise       ; SW[16]                          ;
3045
; HEX5[*]        ; SW[16]                          ; 12.884 ; 12.866 ; Rise       ; SW[16]                          ;
3046
;  HEX5[0]       ; SW[16]                          ; 13.816 ; 13.620 ; Rise       ; SW[16]                          ;
3047
;  HEX5[1]       ; SW[16]                          ; 14.940 ; 14.532 ; Rise       ; SW[16]                          ;
3048
;  HEX5[2]       ; SW[16]                          ; 13.046 ; 12.977 ; Rise       ; SW[16]                          ;
3049
;  HEX5[3]       ; SW[16]                          ; 13.176 ; 12.965 ; Rise       ; SW[16]                          ;
3050
;  HEX5[4]       ; SW[16]                          ; 12.964 ; 12.866 ; Rise       ; SW[16]                          ;
3051
;  HEX5[5]       ; SW[16]                          ; 13.708 ; 13.470 ; Rise       ; SW[16]                          ;
3052
;  HEX5[6]       ; SW[16]                          ; 12.884 ; 13.019 ; Rise       ; SW[16]                          ;
3053
; HEX6[*]        ; SW[16]                          ; 11.426 ; 11.297 ; Rise       ; SW[16]                          ;
3054
;  HEX6[0]       ; SW[16]                          ; 12.535 ; 12.339 ; Rise       ; SW[16]                          ;
3055
;  HEX6[1]       ; SW[16]                          ; 11.462 ; 11.301 ; Rise       ; SW[16]                          ;
3056
;  HEX6[2]       ; SW[16]                          ; 11.462 ; 11.370 ; Rise       ; SW[16]                          ;
3057
;  HEX6[3]       ; SW[16]                          ; 12.818 ; 12.540 ; Rise       ; SW[16]                          ;
3058
;  HEX6[4]       ; SW[16]                          ; 11.426 ; 11.297 ; Rise       ; SW[16]                          ;
3059
;  HEX6[5]       ; SW[16]                          ; 13.500 ; 13.028 ; Rise       ; SW[16]                          ;
3060
;  HEX6[6]       ; SW[16]                          ; 11.617 ; 11.819 ; Rise       ; SW[16]                          ;
3061
; HEX7[*]        ; SW[16]                          ; 11.655 ; 11.490 ; Rise       ; SW[16]                          ;
3062
;  HEX7[0]       ; SW[16]                          ; 11.942 ; 11.729 ; Rise       ; SW[16]                          ;
3063
;  HEX7[1]       ; SW[16]                          ; 11.655 ; 11.545 ; Rise       ; SW[16]                          ;
3064
;  HEX7[2]       ; SW[16]                          ; 11.822 ; 11.652 ; Rise       ; SW[16]                          ;
3065
;  HEX7[3]       ; SW[16]                          ; 11.743 ; 11.581 ; Rise       ; SW[16]                          ;
3066
;  HEX7[4]       ; SW[16]                          ; 11.681 ; 11.533 ; Rise       ; SW[16]                          ;
3067
;  HEX7[5]       ; SW[16]                          ; 11.669 ; 11.490 ; Rise       ; SW[16]                          ;
3068
;  HEX7[6]       ; SW[16]                          ; 12.004 ; 12.189 ; Rise       ; SW[16]                          ;
3069
; LEDG[*]        ; SW[16]                          ; 13.120 ; 13.100 ; Rise       ; SW[16]                          ;
3070
;  LEDG[0]       ; SW[16]                          ; 13.153 ; 13.212 ; Rise       ; SW[16]                          ;
3071
;  LEDG[1]       ; SW[16]                          ; 17.125 ; 17.076 ; Rise       ; SW[16]                          ;
3072
;  LEDG[2]       ; SW[16]                          ; 14.944 ; 14.912 ; Rise       ; SW[16]                          ;
3073
;  LEDG[3]       ; SW[16]                          ; 13.640 ; 13.623 ; Rise       ; SW[16]                          ;
3074
;  LEDG[4]       ; SW[16]                          ; 14.302 ; 14.214 ; Rise       ; SW[16]                          ;
3075
;  LEDG[5]       ; SW[16]                          ; 13.120 ; 13.100 ; Rise       ; SW[16]                          ;
3076
;  LEDG[6]       ; SW[16]                          ; 14.222 ; 14.119 ; Rise       ; SW[16]                          ;
3077
;  LEDG[7]       ; SW[16]                          ; 14.582 ; 14.470 ; Rise       ; SW[16]                          ;
3078
; LEDR[*]        ; SW[16]                          ; 12.903 ; 12.899 ; Rise       ; SW[16]                          ;
3079
;  LEDR[0]       ; SW[16]                          ; 12.903 ; 12.899 ; Rise       ; SW[16]                          ;
3080
;  LEDR[1]       ; SW[16]                          ; 16.140 ; 15.926 ; Rise       ; SW[16]                          ;
3081
;  LEDR[2]       ; SW[16]                          ; 17.369 ; 17.437 ; Rise       ; SW[16]                          ;
3082
;  LEDR[3]       ; SW[16]                          ; 14.150 ; 14.220 ; Rise       ; SW[16]                          ;
3083
;  LEDR[4]       ; SW[16]                          ; 13.417 ; 13.455 ; Rise       ; SW[16]                          ;
3084
;  LEDR[5]       ; SW[16]                          ; 15.599 ; 15.417 ; Rise       ; SW[16]                          ;
3085
;  LEDR[6]       ; SW[16]                          ; 13.838 ; 13.922 ; Rise       ; SW[16]                          ;
3086
;  LEDR[7]       ; SW[16]                          ; 14.499 ; 14.392 ; Rise       ; SW[16]                          ;
3087
;  LEDR[8]       ; SW[16]                          ; 14.505 ; 14.389 ; Rise       ; SW[16]                          ;
3088
;  LEDR[9]       ; SW[16]                          ; 14.297 ; 14.461 ; Rise       ; SW[16]                          ;
3089
;  LEDR[10]      ; SW[16]                          ; 14.305 ; 14.226 ; Rise       ; SW[16]                          ;
3090
;  LEDR[11]      ; SW[16]                          ; 13.973 ; 13.895 ; Rise       ; SW[16]                          ;
3091
;  LEDR[12]      ; SW[16]                          ; 14.193 ; 14.132 ; Rise       ; SW[16]                          ;
3092
;  LEDR[13]      ; SW[16]                          ; 14.363 ; 14.275 ; Rise       ; SW[16]                          ;
3093
;  LEDR[14]      ; SW[16]                          ; 14.608 ; 14.523 ; Rise       ; SW[16]                          ;
3094
;  LEDR[15]      ; SW[16]                          ; 15.353 ; 15.383 ; Rise       ; SW[16]                          ;
3095
; SRAM_ADDR[*]   ; SW[16]                          ; 10.205 ; 10.278 ; Rise       ; SW[16]                          ;
3096
;  SRAM_ADDR[0]  ; SW[16]                          ; 10.638 ; 10.674 ; Rise       ; SW[16]                          ;
3097
;  SRAM_ADDR[1]  ; SW[16]                          ; 10.686 ; 10.740 ; Rise       ; SW[16]                          ;
3098
;  SRAM_ADDR[2]  ; SW[16]                          ; 12.076 ; 12.216 ; Rise       ; SW[16]                          ;
3099
;  SRAM_ADDR[3]  ; SW[16]                          ; 11.505 ; 11.579 ; Rise       ; SW[16]                          ;
3100
;  SRAM_ADDR[4]  ; SW[16]                          ; 12.031 ; 12.131 ; Rise       ; SW[16]                          ;
3101
;  SRAM_ADDR[5]  ; SW[16]                          ; 11.583 ; 11.677 ; Rise       ; SW[16]                          ;
3102
;  SRAM_ADDR[6]  ; SW[16]                          ; 10.840 ; 10.918 ; Rise       ; SW[16]                          ;
3103
;  SRAM_ADDR[7]  ; SW[16]                          ; 11.089 ; 11.246 ; Rise       ; SW[16]                          ;
3104
;  SRAM_ADDR[8]  ; SW[16]                          ; 11.610 ; 11.607 ; Rise       ; SW[16]                          ;
3105
;  SRAM_ADDR[9]  ; SW[16]                          ; 12.268 ; 11.933 ; Rise       ; SW[16]                          ;
3106
;  SRAM_ADDR[10] ; SW[16]                          ; 11.616 ; 11.611 ; Rise       ; SW[16]                          ;
3107
;  SRAM_ADDR[11] ; SW[16]                          ; 10.205 ; 10.278 ; Rise       ; SW[16]                          ;
3108
;  SRAM_ADDR[12] ; SW[16]                          ; 13.010 ; 12.759 ; Rise       ; SW[16]                          ;
3109
;  SRAM_ADDR[13] ; SW[16]                          ; 10.827 ; 10.811 ; Rise       ; SW[16]                          ;
3110
;  SRAM_ADDR[14] ; SW[16]                          ; 12.141 ; 12.127 ; Rise       ; SW[16]                          ;
3111
;  SRAM_ADDR[15] ; SW[16]                          ; 14.809 ; 15.387 ; Rise       ; SW[16]                          ;
3112
; SRAM_DQ[*]     ; SW[16]                          ; 13.079 ; 13.133 ; Rise       ; SW[16]                          ;
3113
;  SRAM_DQ[0]    ; SW[16]                          ; 13.318 ; 13.344 ; Rise       ; SW[16]                          ;
3114
;  SRAM_DQ[1]    ; SW[16]                          ; 13.823 ; 13.941 ; Rise       ; SW[16]                          ;
3115
;  SRAM_DQ[2]    ; SW[16]                          ; 15.465 ; 15.483 ; Rise       ; SW[16]                          ;
3116
;  SRAM_DQ[3]    ; SW[16]                          ; 14.365 ; 14.423 ; Rise       ; SW[16]                          ;
3117
;  SRAM_DQ[4]    ; SW[16]                          ; 14.932 ; 14.986 ; Rise       ; SW[16]                          ;
3118
;  SRAM_DQ[5]    ; SW[16]                          ; 13.969 ; 14.019 ; Rise       ; SW[16]                          ;
3119
;  SRAM_DQ[6]    ; SW[16]                          ; 15.051 ; 15.011 ; Rise       ; SW[16]                          ;
3120
;  SRAM_DQ[7]    ; SW[16]                          ; 13.079 ; 13.133 ; Rise       ; SW[16]                          ;
3121
; SRAM_OE_N      ; SW[16]                          ; 11.817 ; 11.816 ; Rise       ; SW[16]                          ;
3122
; SRAM_WE_N      ; SW[16]                          ; 11.475 ; 11.366 ; Rise       ; SW[16]                          ;
3123
; SRAM_OE_N      ; T80se:z80_inst|MREQ_n           ;        ; 7.317  ; Rise       ; T80se:z80_inst|MREQ_n           ;
3124
; SRAM_WE_N      ; T80se:z80_inst|MREQ_n           ;        ; 7.832  ; Rise       ; T80se:z80_inst|MREQ_n           ;
3125
; SRAM_OE_N      ; T80se:z80_inst|MREQ_n           ; 7.335  ;        ; Fall       ; T80se:z80_inst|MREQ_n           ;
3126
; SRAM_WE_N      ; T80se:z80_inst|MREQ_n           ; 7.930  ;        ; Fall       ; T80se:z80_inst|MREQ_n           ;
3127
; VGA_B[*]       ; clk_div:clkdiv_inst|clock_25MHz ; 9.585  ; 9.461  ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
3128
;  VGA_B[4]      ; clk_div:clkdiv_inst|clock_25MHz ; 10.147 ; 9.987  ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
3129
;  VGA_B[5]      ; clk_div:clkdiv_inst|clock_25MHz ; 9.585  ; 9.461  ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
3130
;  VGA_B[6]      ; clk_div:clkdiv_inst|clock_25MHz ; 10.823 ; 10.691 ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
3131
;  VGA_B[7]      ; clk_div:clkdiv_inst|clock_25MHz ; 9.880  ; 9.737  ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
3132
; VGA_CLK        ; clk_div:clkdiv_inst|clock_25MHz ; 3.887  ;        ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
3133
; VGA_HS         ; clk_div:clkdiv_inst|clock_25MHz ; 8.367  ; 8.381  ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
3134
; VGA_VS         ; clk_div:clkdiv_inst|clock_25MHz ; 7.806  ; 7.780  ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
3135
; VGA_CLK        ; clk_div:clkdiv_inst|clock_25MHz ;        ; 3.772  ; Fall       ; clk_div:clkdiv_inst|clock_25MHz ;
3136
+----------------+---------------------------------+--------+--------+------------+---------------------------------+
3137
 
3138
 
3139
+--------------------------------------------------------------------------------------------+
3140
; Output Enable Times                                                                        ;
3141
+-------------+-----------------------+--------+--------+------------+-----------------------+
3142
; Data Port   ; Clock Port            ; Rise   ; Fall   ; Clock Edge ; Clock Reference       ;
3143
+-------------+-----------------------+--------+--------+------------+-----------------------+
3144
; SRAM_DQ[*]  ; SW[16]                ; 12.862 ; 12.709 ; Rise       ; SW[16]                ;
3145
;  SRAM_DQ[0] ; SW[16]                ; 13.399 ; 13.246 ; Rise       ; SW[16]                ;
3146
;  SRAM_DQ[1] ; SW[16]                ; 12.868 ; 12.715 ; Rise       ; SW[16]                ;
3147
;  SRAM_DQ[2] ; SW[16]                ; 12.862 ; 12.709 ; Rise       ; SW[16]                ;
3148
;  SRAM_DQ[3] ; SW[16]                ; 12.862 ; 12.709 ; Rise       ; SW[16]                ;
3149
;  SRAM_DQ[4] ; SW[16]                ; 13.561 ; 13.408 ; Rise       ; SW[16]                ;
3150
;  SRAM_DQ[5] ; SW[16]                ; 13.285 ; 13.132 ; Rise       ; SW[16]                ;
3151
;  SRAM_DQ[6] ; SW[16]                ; 13.285 ; 13.132 ; Rise       ; SW[16]                ;
3152
;  SRAM_DQ[7] ; SW[16]                ; 12.973 ; 12.820 ; Rise       ; SW[16]                ;
3153
; SRAM_DQ[*]  ; T80se:z80_inst|MREQ_n ; 7.926  ; 7.773  ; Rise       ; T80se:z80_inst|MREQ_n ;
3154
;  SRAM_DQ[0] ; T80se:z80_inst|MREQ_n ; 8.463  ; 8.310  ; Rise       ; T80se:z80_inst|MREQ_n ;
3155
;  SRAM_DQ[1] ; T80se:z80_inst|MREQ_n ; 7.932  ; 7.779  ; Rise       ; T80se:z80_inst|MREQ_n ;
3156
;  SRAM_DQ[2] ; T80se:z80_inst|MREQ_n ; 7.926  ; 7.773  ; Rise       ; T80se:z80_inst|MREQ_n ;
3157
;  SRAM_DQ[3] ; T80se:z80_inst|MREQ_n ; 7.926  ; 7.773  ; Rise       ; T80se:z80_inst|MREQ_n ;
3158
;  SRAM_DQ[4] ; T80se:z80_inst|MREQ_n ; 8.625  ; 8.472  ; Rise       ; T80se:z80_inst|MREQ_n ;
3159
;  SRAM_DQ[5] ; T80se:z80_inst|MREQ_n ; 8.349  ; 8.196  ; Rise       ; T80se:z80_inst|MREQ_n ;
3160
;  SRAM_DQ[6] ; T80se:z80_inst|MREQ_n ; 8.349  ; 8.196  ; Rise       ; T80se:z80_inst|MREQ_n ;
3161
;  SRAM_DQ[7] ; T80se:z80_inst|MREQ_n ; 8.037  ; 7.884  ; Rise       ; T80se:z80_inst|MREQ_n ;
3162
+-------------+-----------------------+--------+--------+------------+-----------------------+
3163
 
3164
 
3165
+--------------------------------------------------------------------------------------------+
3166
; Minimum Output Enable Times                                                                ;
3167
+-------------+-----------------------+--------+--------+------------+-----------------------+
3168
; Data Port   ; Clock Port            ; Rise   ; Fall   ; Clock Edge ; Clock Reference       ;
3169
+-------------+-----------------------+--------+--------+------------+-----------------------+
3170
; SRAM_DQ[*]  ; SW[16]                ; 11.141 ; 10.988 ; Rise       ; SW[16]                ;
3171
;  SRAM_DQ[0] ; SW[16]                ; 11.656 ; 11.503 ; Rise       ; SW[16]                ;
3172
;  SRAM_DQ[1] ; SW[16]                ; 11.146 ; 10.993 ; Rise       ; SW[16]                ;
3173
;  SRAM_DQ[2] ; SW[16]                ; 11.141 ; 10.988 ; Rise       ; SW[16]                ;
3174
;  SRAM_DQ[3] ; SW[16]                ; 11.141 ; 10.988 ; Rise       ; SW[16]                ;
3175
;  SRAM_DQ[4] ; SW[16]                ; 11.811 ; 11.658 ; Rise       ; SW[16]                ;
3176
;  SRAM_DQ[5] ; SW[16]                ; 11.546 ; 11.393 ; Rise       ; SW[16]                ;
3177
;  SRAM_DQ[6] ; SW[16]                ; 11.546 ; 11.393 ; Rise       ; SW[16]                ;
3178
;  SRAM_DQ[7] ; SW[16]                ; 11.247 ; 11.094 ; Rise       ; SW[16]                ;
3179
; SRAM_DQ[*]  ; T80se:z80_inst|MREQ_n ; 7.607  ; 7.454  ; Rise       ; T80se:z80_inst|MREQ_n ;
3180
;  SRAM_DQ[0] ; T80se:z80_inst|MREQ_n ; 8.122  ; 7.969  ; Rise       ; T80se:z80_inst|MREQ_n ;
3181
;  SRAM_DQ[1] ; T80se:z80_inst|MREQ_n ; 7.612  ; 7.459  ; Rise       ; T80se:z80_inst|MREQ_n ;
3182
;  SRAM_DQ[2] ; T80se:z80_inst|MREQ_n ; 7.607  ; 7.454  ; Rise       ; T80se:z80_inst|MREQ_n ;
3183
;  SRAM_DQ[3] ; T80se:z80_inst|MREQ_n ; 7.607  ; 7.454  ; Rise       ; T80se:z80_inst|MREQ_n ;
3184
;  SRAM_DQ[4] ; T80se:z80_inst|MREQ_n ; 8.277  ; 8.124  ; Rise       ; T80se:z80_inst|MREQ_n ;
3185
;  SRAM_DQ[5] ; T80se:z80_inst|MREQ_n ; 8.012  ; 7.859  ; Rise       ; T80se:z80_inst|MREQ_n ;
3186
;  SRAM_DQ[6] ; T80se:z80_inst|MREQ_n ; 8.012  ; 7.859  ; Rise       ; T80se:z80_inst|MREQ_n ;
3187
;  SRAM_DQ[7] ; T80se:z80_inst|MREQ_n ; 7.713  ; 7.560  ; Rise       ; T80se:z80_inst|MREQ_n ;
3188
+-------------+-----------------------+--------+--------+------------+-----------------------+
3189
 
3190
 
3191
+--------------------------------------------------------------------------------------------------+
3192
; Output Disable Times                                                                             ;
3193
+-------------+-----------------------+-----------+-----------+------------+-----------------------+
3194
; Data Port   ; Clock Port            ; 0 to Hi-Z ; 1 to Hi-Z ; Clock Edge ; Clock Reference       ;
3195
+-------------+-----------------------+-----------+-----------+------------+-----------------------+
3196
; SRAM_DQ[*]  ; SW[16]                ; 12.915    ; 13.068    ; Rise       ; SW[16]                ;
3197
;  SRAM_DQ[0] ; SW[16]                ; 13.412    ; 13.565    ; Rise       ; SW[16]                ;
3198
;  SRAM_DQ[1] ; SW[16]                ; 12.921    ; 13.074    ; Rise       ; SW[16]                ;
3199
;  SRAM_DQ[2] ; SW[16]                ; 12.915    ; 13.068    ; Rise       ; SW[16]                ;
3200
;  SRAM_DQ[3] ; SW[16]                ; 12.915    ; 13.068    ; Rise       ; SW[16]                ;
3201
;  SRAM_DQ[4] ; SW[16]                ; 13.555    ; 13.708    ; Rise       ; SW[16]                ;
3202
;  SRAM_DQ[5] ; SW[16]                ; 13.291    ; 13.444    ; Rise       ; SW[16]                ;
3203
;  SRAM_DQ[6] ; SW[16]                ; 13.291    ; 13.444    ; Rise       ; SW[16]                ;
3204
;  SRAM_DQ[7] ; SW[16]                ; 12.962    ; 13.115    ; Rise       ; SW[16]                ;
3205
; SRAM_DQ[*]  ; T80se:z80_inst|MREQ_n ; 7.995     ; 8.148     ; Fall       ; T80se:z80_inst|MREQ_n ;
3206
;  SRAM_DQ[0] ; T80se:z80_inst|MREQ_n ; 8.492     ; 8.645     ; Fall       ; T80se:z80_inst|MREQ_n ;
3207
;  SRAM_DQ[1] ; T80se:z80_inst|MREQ_n ; 8.001     ; 8.154     ; Fall       ; T80se:z80_inst|MREQ_n ;
3208
;  SRAM_DQ[2] ; T80se:z80_inst|MREQ_n ; 7.995     ; 8.148     ; Fall       ; T80se:z80_inst|MREQ_n ;
3209
;  SRAM_DQ[3] ; T80se:z80_inst|MREQ_n ; 7.995     ; 8.148     ; Fall       ; T80se:z80_inst|MREQ_n ;
3210
;  SRAM_DQ[4] ; T80se:z80_inst|MREQ_n ; 8.635     ; 8.788     ; Fall       ; T80se:z80_inst|MREQ_n ;
3211
;  SRAM_DQ[5] ; T80se:z80_inst|MREQ_n ; 8.371     ; 8.524     ; Fall       ; T80se:z80_inst|MREQ_n ;
3212
;  SRAM_DQ[6] ; T80se:z80_inst|MREQ_n ; 8.371     ; 8.524     ; Fall       ; T80se:z80_inst|MREQ_n ;
3213
;  SRAM_DQ[7] ; T80se:z80_inst|MREQ_n ; 8.042     ; 8.195     ; Fall       ; T80se:z80_inst|MREQ_n ;
3214
+-------------+-----------------------+-----------+-----------+------------+-----------------------+
3215
 
3216
 
3217
+--------------------------------------------------------------------------------------------------+
3218
; Minimum Output Disable Times                                                                     ;
3219
+-------------+-----------------------+-----------+-----------+------------+-----------------------+
3220
; Data Port   ; Clock Port            ; 0 to Hi-Z ; 1 to Hi-Z ; Clock Edge ; Clock Reference       ;
3221
+-------------+-----------------------+-----------+-----------+------------+-----------------------+
3222
; SRAM_DQ[*]  ; SW[16]                ; 11.211    ; 11.364    ; Rise       ; SW[16]                ;
3223
;  SRAM_DQ[0] ; SW[16]                ; 11.688    ; 11.841    ; Rise       ; SW[16]                ;
3224
;  SRAM_DQ[1] ; SW[16]                ; 11.217    ; 11.370    ; Rise       ; SW[16]                ;
3225
;  SRAM_DQ[2] ; SW[16]                ; 11.211    ; 11.364    ; Rise       ; SW[16]                ;
3226
;  SRAM_DQ[3] ; SW[16]                ; 11.211    ; 11.364    ; Rise       ; SW[16]                ;
3227
;  SRAM_DQ[4] ; SW[16]                ; 11.825    ; 11.978    ; Rise       ; SW[16]                ;
3228
;  SRAM_DQ[5] ; SW[16]                ; 11.572    ; 11.725    ; Rise       ; SW[16]                ;
3229
;  SRAM_DQ[6] ; SW[16]                ; 11.572    ; 11.725    ; Rise       ; SW[16]                ;
3230
;  SRAM_DQ[7] ; SW[16]                ; 11.256    ; 11.409    ; Rise       ; SW[16]                ;
3231
; SRAM_DQ[*]  ; T80se:z80_inst|MREQ_n ; 7.666     ; 7.819     ; Fall       ; T80se:z80_inst|MREQ_n ;
3232
;  SRAM_DQ[0] ; T80se:z80_inst|MREQ_n ; 8.143     ; 8.296     ; Fall       ; T80se:z80_inst|MREQ_n ;
3233
;  SRAM_DQ[1] ; T80se:z80_inst|MREQ_n ; 7.672     ; 7.825     ; Fall       ; T80se:z80_inst|MREQ_n ;
3234
;  SRAM_DQ[2] ; T80se:z80_inst|MREQ_n ; 7.666     ; 7.819     ; Fall       ; T80se:z80_inst|MREQ_n ;
3235
;  SRAM_DQ[3] ; T80se:z80_inst|MREQ_n ; 7.666     ; 7.819     ; Fall       ; T80se:z80_inst|MREQ_n ;
3236
;  SRAM_DQ[4] ; T80se:z80_inst|MREQ_n ; 8.280     ; 8.433     ; Fall       ; T80se:z80_inst|MREQ_n ;
3237
;  SRAM_DQ[5] ; T80se:z80_inst|MREQ_n ; 8.027     ; 8.180     ; Fall       ; T80se:z80_inst|MREQ_n ;
3238
;  SRAM_DQ[6] ; T80se:z80_inst|MREQ_n ; 8.027     ; 8.180     ; Fall       ; T80se:z80_inst|MREQ_n ;
3239
;  SRAM_DQ[7] ; T80se:z80_inst|MREQ_n ; 7.711     ; 7.864     ; Fall       ; T80se:z80_inst|MREQ_n ;
3240
+-------------+-----------------------+-----------+-----------+------------+-----------------------+
3241
 
3242
 
3243
----------------------------------------------
3244
; Slow 1200mV 85C Model Metastability Report ;
3245
----------------------------------------------
3246
No synchronizer chains to report.
3247
 
3248
 
3249
+-------------------------------------------------------------------------------------------------------------------------------------------------------------+
3250
; Slow 1200mV 0C Model Fmax Summary                                                                                                                           ;
3251
+-------------+-----------------+-------------------------------------------------------------+---------------------------------------------------------------+
3252
; Fmax        ; Restricted Fmax ; Clock Name                                                  ; Note                                                          ;
3253
+-------------+-----------------+-------------------------------------------------------------+---------------------------------------------------------------+
3254
; 80.67 MHz   ; 80.67 MHz       ; SW[16]                                                      ;                                                               ;
3255
; 164.23 MHz  ; 164.23 MHz      ; clk_div:clkdiv_inst|clock_25MHz                             ;                                                               ;
3256
; 257.73 MHz  ; 250.0 MHz       ; CLOCK_50                                                    ; limit due to minimum period restriction (max I/O toggle rate) ;
3257
; 336.59 MHz  ; 336.59 MHz      ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ;                                                               ;
3258
; 587.2 MHz   ; 437.64 MHz      ; clk_div:clkdiv_inst|clock_25Mhz_int                         ; limit due to minimum period restriction (tmin)                ;
3259
; 675.68 MHz  ; 437.64 MHz      ; clk_div:clkdiv_inst|clock_1Mhz_int                          ; limit due to minimum period restriction (tmin)                ;
3260
; 728.33 MHz  ; 437.64 MHz      ; clk_div:clkdiv_inst|clock_100Khz_int                        ; limit due to minimum period restriction (tmin)                ;
3261
; 729.93 MHz  ; 437.64 MHz      ; clk_div:clkdiv_inst|clock_10Khz_int                         ; limit due to minimum period restriction (tmin)                ;
3262
; 931.97 MHz  ; 437.64 MHz      ; clk_div:clkdiv_inst|clock_1Khz_int                          ; limit due to minimum period restriction (tmin)                ;
3263
; 1024.59 MHz ; 437.64 MHz      ; clk_div:clkdiv_inst|clock_100Hz                             ; limit due to minimum period restriction (tmin)                ;
3264
+-------------+-----------------+-------------------------------------------------------------+---------------------------------------------------------------+
3265
This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods.  FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock.  Paths of different clocks, including generated clocks, are ignored.  For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis.
3266
 
3267
 
3268
+---------------------------------------------------------------------------------------+
3269
; Slow 1200mV 0C Model Setup Summary                                                    ;
3270
+-------------------------------------------------------------+---------+---------------+
3271
; Clock                                                       ; Slack   ; End Point TNS ;
3272
+-------------------------------------------------------------+---------+---------------+
3273
; SW[16]                                                      ; -11.396 ; -3408.529     ;
3274
; LCD:lcd_inst|clk_400hz_enable                               ; -10.487 ; -79.038       ;
3275
; CLOCK_50                                                    ; -7.105  ; -160.429      ;
3276
; clk_div:clkdiv_inst|clock_25MHz                             ; -5.089  ; -225.410      ;
3277
; clk_div:clkdiv_inst|clock_100Hz                             ; -4.660  ; -9.320        ;
3278
; T80se:z80_inst|MREQ_n                                       ; -2.600  ; -454.970      ;
3279
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; -1.971  ; -33.232       ;
3280
; clk_div:clkdiv_inst|clock_25Mhz_int                         ; -0.703  ; -3.550        ;
3281
; clk_div:clkdiv_inst|clock_1Mhz_int                          ; -0.480  ; -0.638        ;
3282
; clk_div:clkdiv_inst|clock_100Khz_int                        ; -0.373  ; -0.434        ;
3283
; clk_div:clkdiv_inst|clock_10Khz_int                         ; -0.370  ; -0.416        ;
3284
; clk_div:clkdiv_inst|clock_1Khz_int                          ; -0.073  ; -0.140        ;
3285
+-------------------------------------------------------------+---------+---------------+
3286
 
3287
 
3288
+--------------------------------------------------------------------------------------+
3289
; Slow 1200mV 0C Model Hold Summary                                                    ;
3290
+-------------------------------------------------------------+--------+---------------+
3291
; Clock                                                       ; Slack  ; End Point TNS ;
3292
+-------------------------------------------------------------+--------+---------------+
3293
; SW[16]                                                      ; -2.883 ; -132.381      ;
3294
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; -0.303 ; -0.303        ;
3295
; CLOCK_50                                                    ; -0.171 ; -0.488        ;
3296
; clk_div:clkdiv_inst|clock_100Khz_int                        ; 0.073  ; 0.000         ;
3297
; clk_div:clkdiv_inst|clock_10Khz_int                         ; 0.100  ; 0.000         ;
3298
; clk_div:clkdiv_inst|clock_1Mhz_int                          ; 0.181  ; 0.000         ;
3299
; T80se:z80_inst|MREQ_n                                       ; 0.336  ; 0.000         ;
3300
; clk_div:clkdiv_inst|clock_25MHz                             ; 0.348  ; 0.000         ;
3301
; clk_div:clkdiv_inst|clock_1Khz_int                          ; 0.387  ; 0.000         ;
3302
; clk_div:clkdiv_inst|clock_100Hz                             ; 0.409  ; 0.000         ;
3303
; clk_div:clkdiv_inst|clock_25Mhz_int                         ; 0.426  ; 0.000         ;
3304
; LCD:lcd_inst|clk_400hz_enable                               ; 2.100  ; 0.000         ;
3305
+-------------------------------------------------------------+--------+---------------+
3306
 
3307
 
3308
+--------------------------------------------------------------------------+
3309
; Slow 1200mV 0C Model Recovery Summary                                    ;
3310
+-------------------------------------------------+--------+---------------+
3311
; Clock                                           ; Slack  ; End Point TNS ;
3312
+-------------------------------------------------+--------+---------------+
3313
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set ; -2.255 ; -2.255        ;
3314
+-------------------------------------------------+--------+---------------+
3315
 
3316
 
3317
+-------------------------------------------------------------------------+
3318
; Slow 1200mV 0C Model Removal Summary                                    ;
3319
+-------------------------------------------------+-------+---------------+
3320
; Clock                                           ; Slack ; End Point TNS ;
3321
+-------------------------------------------------+-------+---------------+
3322
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set ; 2.789 ; 0.000         ;
3323
+-------------------------------------------------+-------+---------------+
3324
 
3325
 
3326
+--------------------------------------------------------------------------------------+
3327
; Slow 1200mV 0C Model Minimum Pulse Width Summary                                     ;
3328
+-------------------------------------------------------------+--------+---------------+
3329
; Clock                                                       ; Slack  ; End Point TNS ;
3330
+-------------------------------------------------------------+--------+---------------+
3331
; SW[16]                                                      ; -3.000 ; -609.320      ;
3332
; CLOCK_50                                                    ; -3.000 ; -141.780      ;
3333
; clk_div:clkdiv_inst|clock_25MHz                             ; -2.649 ; -176.793      ;
3334
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; -1.285 ; -29.555       ;
3335
; LCD:lcd_inst|clk_400hz_enable                               ; -1.285 ; -10.280       ;
3336
; clk_div:clkdiv_inst|clock_25Mhz_int                         ; -1.285 ; -7.710        ;
3337
; clk_div:clkdiv_inst|clock_100Khz_int                        ; -1.285 ; -5.140        ;
3338
; clk_div:clkdiv_inst|clock_10Khz_int                         ; -1.285 ; -5.140        ;
3339
; clk_div:clkdiv_inst|clock_1Khz_int                          ; -1.285 ; -5.140        ;
3340
; clk_div:clkdiv_inst|clock_1Mhz_int                          ; -1.285 ; -5.140        ;
3341
; clk_div:clkdiv_inst|clock_100Hz                             ; -1.285 ; -2.570        ;
3342
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set             ; -1.285 ; -1.285        ;
3343
; T80se:z80_inst|MREQ_n                                       ; -0.004 ; -0.012        ;
3344
+-------------------------------------------------------------+--------+---------------+
3345
 
3346
 
3347
+------------------------------------------------------------------------------------------------------------------------------------------------------------------+
3348
; Slow 1200mV 0C Model Setup: 'SW[16]'                                                                                                                             ;
3349
+---------+---------------------------------+------------------------------------------------+--------------+-------------+--------------+------------+------------+
3350
; Slack   ; From Node                       ; To Node                                        ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
3351
+---------+---------------------------------+------------------------------------------------+--------------+-------------+--------------+------------+------------+
3352
; -11.396 ; T80se:z80_inst|T80:u0|MCycle[0] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.109     ; 12.286     ;
3353
; -11.392 ; T80se:z80_inst|T80:u0|MCycle[0] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.109     ; 12.282     ;
3354
; -11.349 ; T80se:z80_inst|T80:u0|IR[6]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.079     ; 12.269     ;
3355
; -11.345 ; T80se:z80_inst|T80:u0|MCycle[0] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.105     ; 12.239     ;
3356
; -11.345 ; T80se:z80_inst|T80:u0|IR[6]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.079     ; 12.265     ;
3357
; -11.343 ; T80se:z80_inst|T80:u0|MCycle[0] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.105     ; 12.237     ;
3358
; -11.335 ; T80se:z80_inst|T80:u0|MCycle[2] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.109     ; 12.225     ;
3359
; -11.331 ; T80se:z80_inst|T80:u0|MCycle[2] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.109     ; 12.221     ;
3360
; -11.323 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|A[14]                    ; SW[16]       ; SW[16]      ; 1.000        ; -2.500     ; 9.822      ;
3361
; -11.302 ; T80se:z80_inst|T80:u0|F[0]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.079     ; 12.222     ;
3362
; -11.299 ; T80se:z80_inst|T80:u0|IR[1]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.109     ; 12.189     ;
3363
; -11.298 ; T80se:z80_inst|T80:u0|IR[6]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.075     ; 12.222     ;
3364
; -11.298 ; T80se:z80_inst|T80:u0|F[0]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.079     ; 12.218     ;
3365
; -11.296 ; T80se:z80_inst|T80:u0|IR[6]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.075     ; 12.220     ;
3366
; -11.295 ; T80se:z80_inst|T80:u0|IR[1]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.109     ; 12.185     ;
3367
; -11.284 ; T80se:z80_inst|T80:u0|IR[0]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.108     ; 12.175     ;
3368
; -11.284 ; T80se:z80_inst|T80:u0|MCycle[2] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.105     ; 12.178     ;
3369
; -11.282 ; T80se:z80_inst|T80:u0|MCycle[2] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.105     ; 12.176     ;
3370
; -11.280 ; T80se:z80_inst|T80:u0|MCycle[0] ; T80se:z80_inst|T80:u0|A[14]                    ; SW[16]       ; SW[16]      ; 1.000        ; -2.509     ; 9.770      ;
3371
; -11.280 ; T80se:z80_inst|T80:u0|IR[0]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.108     ; 12.171     ;
3372
; -11.266 ; T80se:z80_inst|T80:u0|IR[2]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.109     ; 12.156     ;
3373
; -11.262 ; T80se:z80_inst|T80:u0|IR[2]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.109     ; 12.152     ;
3374
; -11.258 ; T80se:z80_inst|T80:u0|F[2]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.104     ; 12.153     ;
3375
; -11.254 ; T80se:z80_inst|T80:u0|F[2]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.104     ; 12.149     ;
3376
; -11.251 ; T80se:z80_inst|T80:u0|F[0]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.075     ; 12.175     ;
3377
; -11.249 ; T80se:z80_inst|T80:u0|F[0]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.075     ; 12.173     ;
3378
; -11.248 ; T80se:z80_inst|T80:u0|IR[1]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.105     ; 12.142     ;
3379
; -11.246 ; T80se:z80_inst|T80:u0|IR[1]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.105     ; 12.140     ;
3380
; -11.245 ; T80se:z80_inst|T80:u0|F[0]      ; T80se:z80_inst|T80:u0|A[14]                    ; SW[16]       ; SW[16]      ; 1.000        ; -2.500     ; 9.744      ;
3381
; -11.242 ; T80se:z80_inst|T80:u0|IR[5]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.079     ; 12.162     ;
3382
; -11.238 ; T80se:z80_inst|T80:u0|IR[5]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.079     ; 12.158     ;
3383
; -11.233 ; T80se:z80_inst|T80:u0|IR[0]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.104     ; 12.128     ;
3384
; -11.231 ; T80se:z80_inst|T80:u0|IR[0]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.104     ; 12.126     ;
3385
; -11.229 ; T80se:z80_inst|T80:u0|MCycle[1] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.109     ; 12.119     ;
3386
; -11.225 ; T80se:z80_inst|T80:u0|MCycle[1] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.109     ; 12.115     ;
3387
; -11.216 ; T80se:z80_inst|T80:u0|F[7]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.104     ; 12.111     ;
3388
; -11.216 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.079     ; 12.136     ;
3389
; -11.215 ; T80se:z80_inst|T80:u0|IR[2]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.105     ; 12.109     ;
3390
; -11.213 ; T80se:z80_inst|T80:u0|IR[2]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.105     ; 12.107     ;
3391
; -11.212 ; T80se:z80_inst|T80:u0|F[7]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.104     ; 12.107     ;
3392
; -11.212 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.079     ; 12.132     ;
3393
; -11.207 ; T80se:z80_inst|T80:u0|F[2]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.100     ; 12.106     ;
3394
; -11.205 ; T80se:z80_inst|T80:u0|F[2]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.100     ; 12.104     ;
3395
; -11.201 ; T80se:z80_inst|T80:u0|IR[2]     ; T80se:z80_inst|T80:u0|A[14]                    ; SW[16]       ; SW[16]      ; 1.000        ; -2.509     ; 9.691      ;
3396
; -11.191 ; T80se:z80_inst|T80:u0|IR[5]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.075     ; 12.115     ;
3397
; -11.189 ; T80se:z80_inst|T80:u0|IR[5]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.075     ; 12.113     ;
3398
; -11.182 ; T80se:z80_inst|T80:u0|IR[3]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.078     ; 12.103     ;
3399
; -11.182 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.079     ; 12.102     ;
3400
; -11.180 ; T80se:z80_inst|T80:u0|F[2]      ; T80se:z80_inst|T80:u0|A[14]                    ; SW[16]       ; SW[16]      ; 1.000        ; -2.504     ; 9.675      ;
3401
; -11.178 ; T80se:z80_inst|T80:u0|MCycle[1] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.105     ; 12.072     ;
3402
; -11.178 ; T80se:z80_inst|T80:u0|IR[3]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.078     ; 12.099     ;
3403
; -11.178 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.079     ; 12.098     ;
3404
; -11.176 ; T80se:z80_inst|T80:u0|MCycle[1] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.105     ; 12.070     ;
3405
; -11.172 ; T80se:z80_inst|T80:u0|MCycle[0] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][3] ; SW[16]       ; SW[16]      ; 1.000        ; -0.108     ; 12.063     ;
3406
; -11.171 ; T80se:z80_inst|T80:u0|IR[5]     ; T80se:z80_inst|T80:u0|A[14]                    ; SW[16]       ; SW[16]      ; 1.000        ; -2.500     ; 9.670      ;
3407
; -11.171 ; T80se:z80_inst|T80:u0|MCycle[0] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][3] ; SW[16]       ; SW[16]      ; 1.000        ; -0.108     ; 12.062     ;
3408
; -11.166 ; T80se:z80_inst|T80:u0|MCycle[0] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.104     ; 12.061     ;
3409
; -11.165 ; T80se:z80_inst|T80:u0|F[7]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.100     ; 12.064     ;
3410
; -11.165 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.075     ; 12.089     ;
3411
; -11.163 ; T80se:z80_inst|T80:u0|F[7]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.100     ; 12.062     ;
3412
; -11.163 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.075     ; 12.087     ;
3413
; -11.153 ; T80se:z80_inst|T80:u0|IR[7]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.109     ; 12.043     ;
3414
; -11.150 ; T80se:z80_inst|T80:u0|IR[1]     ; T80se:z80_inst|T80:u0|A[14]                    ; SW[16]       ; SW[16]      ; 1.000        ; -2.509     ; 9.640      ;
3415
; -11.149 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|A[9]                     ; SW[16]       ; SW[16]      ; 1.000        ; -2.484     ; 9.664      ;
3416
; -11.149 ; T80se:z80_inst|T80:u0|IR[7]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.109     ; 12.039     ;
3417
; -11.144 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|A[8]                     ; SW[16]       ; SW[16]      ; 1.000        ; -2.484     ; 9.659      ;
3418
; -11.138 ; T80se:z80_inst|T80:u0|F[7]      ; T80se:z80_inst|T80:u0|A[14]                    ; SW[16]       ; SW[16]      ; 1.000        ; -2.504     ; 9.633      ;
3419
; -11.134 ; T80se:z80_inst|T80:u0|MCycle[0] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][3] ; SW[16]       ; SW[16]      ; 1.000        ; -0.108     ; 12.025     ;
3420
; -11.133 ; T80se:z80_inst|T80:u0|MCycle[0] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][3] ; SW[16]       ; SW[16]      ; 1.000        ; -0.107     ; 12.025     ;
3421
; -11.133 ; T80se:z80_inst|T80:u0|MCycle[0] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][3] ; SW[16]       ; SW[16]      ; 1.000        ; -0.107     ; 12.025     ;
3422
; -11.133 ; T80se:z80_inst|T80:u0|MCycle[0] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][3] ; SW[16]       ; SW[16]      ; 1.000        ; -0.108     ; 12.024     ;
3423
; -11.132 ; T80se:z80_inst|T80:u0|MCycle[2] ; T80se:z80_inst|T80:u0|A[14]                    ; SW[16]       ; SW[16]      ; 1.000        ; -2.509     ; 9.622      ;
3424
; -11.131 ; T80se:z80_inst|T80:u0|IR[3]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.074     ; 12.056     ;
3425
; -11.131 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.075     ; 12.055     ;
3426
; -11.129 ; T80se:z80_inst|T80:u0|IR[3]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.074     ; 12.054     ;
3427
; -11.129 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.075     ; 12.053     ;
3428
; -11.125 ; T80se:z80_inst|T80:u0|F[6]      ; T80se:z80_inst|T80:u0|A[14]                    ; SW[16]       ; SW[16]      ; 1.000        ; -2.500     ; 9.624      ;
3429
; -11.125 ; T80se:z80_inst|T80:u0|IR[6]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][3] ; SW[16]       ; SW[16]      ; 1.000        ; -0.078     ; 12.046     ;
3430
; -11.124 ; T80se:z80_inst|T80:u0|MCycle[0] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][6] ; SW[16]       ; SW[16]      ; 1.000        ; -0.107     ; 12.016     ;
3431
; -11.124 ; T80se:z80_inst|T80:u0|IR[6]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][3] ; SW[16]       ; SW[16]      ; 1.000        ; -0.078     ; 12.045     ;
3432
; -11.119 ; T80se:z80_inst|T80:u0|IR[6]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.074     ; 12.044     ;
3433
; -11.118 ; T80se:z80_inst|T80:u0|IR[7]     ; T80se:z80_inst|T80:u0|A[14]                    ; SW[16]       ; SW[16]      ; 1.000        ; -2.509     ; 9.608      ;
3434
; -11.111 ; T80se:z80_inst|T80:u0|MCycle[2] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][3] ; SW[16]       ; SW[16]      ; 1.000        ; -0.108     ; 12.002     ;
3435
; -11.110 ; T80se:z80_inst|T80:u0|MCycle[2] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][3] ; SW[16]       ; SW[16]      ; 1.000        ; -0.108     ; 12.001     ;
3436
; -11.106 ; T80se:z80_inst|T80:u0|MCycle[0] ; T80se:z80_inst|T80:u0|A[9]                     ; SW[16]       ; SW[16]      ; 1.000        ; -2.493     ; 9.612      ;
3437
; -11.105 ; T80se:z80_inst|T80:u0|MCycle[2] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.104     ; 12.000     ;
3438
; -11.102 ; T80se:z80_inst|T80:u0|IR[7]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.105     ; 11.996     ;
3439
; -11.101 ; T80se:z80_inst|T80:u0|MCycle[0] ; T80se:z80_inst|T80:u0|A[8]                     ; SW[16]       ; SW[16]      ; 1.000        ; -2.493     ; 9.607      ;
3440
; -11.100 ; T80se:z80_inst|T80:u0|IR[7]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.105     ; 11.994     ;
3441
; -11.099 ; T80se:z80_inst|T80:u0|MCycle[0] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][5] ; SW[16]       ; SW[16]      ; 1.000        ; -0.108     ; 11.990     ;
3442
; -11.098 ; T80se:z80_inst|T80:u0|MCycle[0] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][5] ; SW[16]       ; SW[16]      ; 1.000        ; -0.108     ; 11.989     ;
3443
; -11.093 ; T80se:z80_inst|T80:u0|MCycle[0] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][1] ; SW[16]       ; SW[16]      ; 1.000        ; -0.108     ; 11.984     ;
3444
; -11.091 ; T80se:z80_inst|T80:u0|IR[0]     ; T80se:z80_inst|T80:u0|A[14]                    ; SW[16]       ; SW[16]      ; 1.000        ; -2.508     ; 9.582      ;
3445
; -11.091 ; T80se:z80_inst|T80:u0|MCycle[0] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][1] ; SW[16]       ; SW[16]      ; 1.000        ; -0.108     ; 11.982     ;
3446
; -11.088 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|A[15]                    ; SW[16]       ; SW[16]      ; 1.000        ; -2.500     ; 9.587      ;
3447
; -11.087 ; T80se:z80_inst|T80:u0|IR[6]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][3] ; SW[16]       ; SW[16]      ; 1.000        ; -0.078     ; 12.008     ;
3448
; -11.086 ; T80se:z80_inst|T80:u0|IR[6]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][3] ; SW[16]       ; SW[16]      ; 1.000        ; -0.077     ; 12.008     ;
3449
; -11.086 ; T80se:z80_inst|T80:u0|IR[6]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][3] ; SW[16]       ; SW[16]      ; 1.000        ; -0.077     ; 12.008     ;
3450
; -11.086 ; T80se:z80_inst|T80:u0|IR[6]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][3] ; SW[16]       ; SW[16]      ; 1.000        ; -0.078     ; 12.007     ;
3451
; -11.078 ; T80se:z80_inst|T80:u0|F[0]      ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][3] ; SW[16]       ; SW[16]      ; 1.000        ; -0.078     ; 11.999     ;
3452
+---------+---------------------------------+------------------------------------------------+--------------+-------------+--------------+------------+------------+
3453
 
3454
 
3455
+----------------------------------------------------------------------------------------------------------------------------------------------+
3456
; Slow 1200mV 0C Model Setup: 'LCD:lcd_inst|clk_400hz_enable'                                                                                  ;
3457
+---------+----------------+------------------+-----------------------+-------------------------------+--------------+------------+------------+
3458
; Slack   ; From Node      ; To Node          ; Launch Clock          ; Latch Clock                   ; Relationship ; Clock Skew ; Data Delay ;
3459
+---------+----------------+------------------+-----------------------+-------------------------------+--------------+------------+------------+
3460
; -10.487 ; lcdvram[28][0] ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.245     ; 3.751      ;
3461
; -10.264 ; lcdvram[31][0] ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.744     ; 3.029      ;
3462
; -10.237 ; lcdvram[19][0] ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.777     ; 2.969      ;
3463
; -10.171 ; lcdvram[20][3] ; next_char_sig[3] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.479     ; 3.201      ;
3464
; -10.103 ; lcdvram[31][5] ; next_char_sig[5] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.656     ; 2.956      ;
3465
; -10.076 ; lcdvram[30][0] ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -6.975     ; 3.610      ;
3466
; -9.991  ; lcdvram[22][3] ; next_char_sig[3] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -6.895     ; 3.605      ;
3467
; -9.973  ; lcdvram[22][0] ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -6.931     ; 3.551      ;
3468
; -9.965  ; lcdvram[22][5] ; next_char_sig[5] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -6.842     ; 3.632      ;
3469
; -9.853  ; lcdvram[19][1] ; next_char_sig[1] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.709     ; 2.653      ;
3470
; -9.787  ; lcdvram[10][7] ; next_char_sig[7] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -6.238     ; 4.058      ;
3471
; -9.776  ; lcdvram[3][7]  ; next_char_sig[7] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -6.231     ; 4.054      ;
3472
; -9.772  ; lcdvram[6][0]  ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -6.611     ; 3.670      ;
3473
; -9.761  ; lcdvram[16][3] ; next_char_sig[3] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.828     ; 2.442      ;
3474
; -9.750  ; lcdvram[31][2] ; next_char_sig[2] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.703     ; 2.556      ;
3475
; -9.745  ; lcdvram[16][0] ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.700     ; 2.554      ;
3476
; -9.722  ; lcdvram[17][0] ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.534     ; 2.697      ;
3477
; -9.696  ; lcdvram[19][3] ; next_char_sig[3] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.603     ; 2.602      ;
3478
; -9.681  ; lcdvram[19][7] ; next_char_sig[7] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.794     ; 2.396      ;
3479
; -9.669  ; lcdvram[16][5] ; next_char_sig[5] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.749     ; 2.429      ;
3480
; -9.633  ; lcdvram[17][2] ; next_char_sig[2] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.543     ; 2.599      ;
3481
; -9.615  ; lcdvram[4][0]  ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -6.314     ; 3.810      ;
3482
; -9.610  ; lcdvram[0][5]  ; next_char_sig[5] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -6.699     ; 3.420      ;
3483
; -9.594  ; lcdvram[23][0] ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -6.892     ; 3.211      ;
3484
; -9.590  ; lcdvram[19][6] ; next_char_sig[6] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.693     ; 2.406      ;
3485
; -9.570  ; lcdvram[17][7] ; next_char_sig[7] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.560     ; 2.519      ;
3486
; -9.569  ; lcdvram[27][3] ; next_char_sig[3] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.533     ; 2.545      ;
3487
; -9.564  ; lcdvram[20][0] ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.468     ; 2.605      ;
3488
; -9.563  ; lcdvram[28][3] ; next_char_sig[3] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.351     ; 2.721      ;
3489
; -9.560  ; lcdvram[27][1] ; next_char_sig[1] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.468     ; 2.601      ;
3490
; -9.542  ; lcdvram[18][2] ; next_char_sig[2] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.260     ; 2.791      ;
3491
; -9.535  ; lcdvram[18][1] ; next_char_sig[1] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.032     ; 3.012      ;
3492
; -9.527  ; lcdvram[28][7] ; next_char_sig[7] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.231     ; 2.805      ;
3493
; -9.527  ; lcdvram[16][2] ; next_char_sig[2] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.692     ; 2.344      ;
3494
; -9.519  ; lcdvram[6][6]  ; next_char_sig[6] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -6.315     ; 3.713      ;
3495
; -9.519  ; lcdvram[1][6]  ; next_char_sig[6] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -6.139     ; 3.889      ;
3496
; -9.505  ; lcdvram[19][5] ; next_char_sig[5] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.516     ; 2.498      ;
3497
; -9.498  ; lcdvram[24][0] ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.601     ; 2.406      ;
3498
; -9.495  ; lcdvram[20][7] ; next_char_sig[7] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.341     ; 2.663      ;
3499
; -9.493  ; lcdvram[17][1] ; next_char_sig[1] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.458     ; 2.544      ;
3500
; -9.492  ; lcdvram[17][5] ; next_char_sig[5] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.459     ; 2.542      ;
3501
; -9.483  ; lcdvram[20][5] ; next_char_sig[5] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.381     ; 2.611      ;
3502
; -9.466  ; lcdvram[23][6] ; next_char_sig[6] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -6.819     ; 3.156      ;
3503
; -9.461  ; lcdvram[22][1] ; next_char_sig[1] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -6.698     ; 3.272      ;
3504
; -9.449  ; lcdvram[25][0] ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.388     ; 2.570      ;
3505
; -9.437  ; lcdvram[6][2]  ; next_char_sig[2] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -6.448     ; 3.498      ;
3506
; -9.386  ; lcdvram[27][7] ; next_char_sig[7] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.555     ; 2.340      ;
3507
; -9.384  ; lcdvram[24][7] ; next_char_sig[7] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.457     ; 2.436      ;
3508
; -9.376  ; lcdvram[16][1] ; next_char_sig[1] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.750     ; 2.135      ;
3509
; -9.357  ; lcdvram[4][6]  ; next_char_sig[6] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -6.226     ; 3.640      ;
3510
; -9.318  ; lcdvram[17][6] ; next_char_sig[6] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.467     ; 2.360      ;
3511
; -9.304  ; lcdvram[17][3] ; next_char_sig[3] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.515     ; 2.298      ;
3512
; -9.303  ; lcdvram[28][6] ; next_char_sig[6] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.131     ; 2.681      ;
3513
; -9.298  ; lcdvram[30][2] ; next_char_sig[2] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -6.972     ; 2.835      ;
3514
; -9.297  ; lcdvram[6][4]  ; next_char_sig[4] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -6.696     ; 3.110      ;
3515
; -9.296  ; lcdvram[1][4]  ; next_char_sig[4] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -5.947     ; 3.858      ;
3516
; -9.294  ; lcdvram[0][0]  ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -6.392     ; 3.411      ;
3517
; -9.265  ; lcdvram[27][5] ; next_char_sig[5] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.569     ; 2.205      ;
3518
; -9.254  ; lcdvram[31][1] ; next_char_sig[1] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.652     ; 2.111      ;
3519
; -9.250  ; lcdvram[18][5] ; next_char_sig[5] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.177     ; 2.582      ;
3520
; -9.246  ; lcdvram[18][0] ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.259     ; 2.496      ;
3521
; -9.237  ; lcdvram[18][3] ; next_char_sig[3] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.185     ; 2.561      ;
3522
; -9.230  ; lcdvram[16][6] ; next_char_sig[6] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.613     ; 2.126      ;
3523
; -9.207  ; lcdvram[24][6] ; next_char_sig[6] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.513     ; 2.203      ;
3524
; -9.204  ; lcdvram[16][7] ; next_char_sig[7] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.704     ; 2.009      ;
3525
; -9.192  ; lcdvram[20][6] ; next_char_sig[6] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.415     ; 2.286      ;
3526
; -9.170  ; lcdvram[24][5] ; next_char_sig[5] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.331     ; 2.348      ;
3527
; -9.168  ; lcdvram[19][2] ; next_char_sig[2] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.626     ; 2.051      ;
3528
; -9.156  ; lcdvram[20][1] ; next_char_sig[1] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.228     ; 2.437      ;
3529
; -9.155  ; lcdvram[2][7]  ; next_char_sig[7] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -5.924     ; 3.740      ;
3530
; -9.150  ; lcdvram[21][2] ; next_char_sig[2] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.128     ; 2.531      ;
3531
; -9.140  ; lcdvram[0][1]  ; next_char_sig[1] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -6.066     ; 3.583      ;
3532
; -9.127  ; lcdvram[25][7] ; next_char_sig[7] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.394     ; 2.242      ;
3533
; -9.121  ; lcdvram[22][6] ; next_char_sig[6] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -6.808     ; 2.822      ;
3534
; -9.118  ; lcdvram[21][7] ; next_char_sig[7] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.156     ; 2.471      ;
3535
; -9.118  ; lcdvram[28][2] ; next_char_sig[2] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.357     ; 2.270      ;
3536
; -9.112  ; lcdvram[31][7] ; next_char_sig[7] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.738     ; 1.883      ;
3537
; -9.112  ; lcdvram[7][2]  ; next_char_sig[2] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -6.183     ; 3.438      ;
3538
; -9.103  ; lcdvram[8][7]  ; next_char_sig[7] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -6.034     ; 3.578      ;
3539
; -9.102  ; lcdvram[29][1] ; next_char_sig[1] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -6.578     ; 3.033      ;
3540
; -9.089  ; lcdvram[4][2]  ; next_char_sig[2] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -6.312     ; 3.286      ;
3541
; -9.076  ; lcdvram[0][6]  ; next_char_sig[6] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -5.829     ; 3.756      ;
3542
; -9.076  ; lcdvram[25][1] ; next_char_sig[1] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.329     ; 2.256      ;
3543
; -9.062  ; lcdvram[17][4] ; next_char_sig[4] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.219     ; 2.352      ;
3544
; -9.056  ; lcdvram[30][1] ; next_char_sig[1] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -6.850     ; 2.715      ;
3545
; -9.054  ; lcdvram[25][3] ; next_char_sig[3] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.556     ; 2.007      ;
3546
; -9.048  ; lcdvram[24][4] ; next_char_sig[4] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.283     ; 2.274      ;
3547
; -9.034  ; lcdvram[27][2] ; next_char_sig[2] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.517     ; 2.026      ;
3548
; -9.033  ; lcdvram[26][7] ; next_char_sig[7] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -6.867     ; 2.675      ;
3549
; -9.032  ; lcdvram[31][6] ; next_char_sig[6] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.636     ; 1.905      ;
3550
; -9.024  ; lcdvram[21][0] ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.291     ; 2.242      ;
3551
; -9.014  ; lcdvram[25][6] ; next_char_sig[6] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.301     ; 2.222      ;
3552
; -8.994  ; lcdvram[11][2] ; next_char_sig[2] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -6.216     ; 3.287      ;
3553
; -8.992  ; lcdvram[26][0] ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.020     ; 2.481      ;
3554
; -8.988  ; lcdvram[11][3] ; next_char_sig[3] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -5.923     ; 3.574      ;
3555
; -8.982  ; lcdvram[27][6] ; next_char_sig[6] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.454     ; 2.037      ;
3556
; -8.949  ; lcdvram[20][2] ; next_char_sig[2] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.325     ; 2.133      ;
3557
; -8.942  ; lcdvram[7][5]  ; next_char_sig[5] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -6.100     ; 3.351      ;
3558
; -8.935  ; lcdvram[25][2] ; next_char_sig[2] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -7.546     ; 1.898      ;
3559
; -8.930  ; lcdvram[23][7] ; next_char_sig[7] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -6.900     ; 2.539      ;
3560
+---------+----------------+------------------+-----------------------+-------------------------------+--------------+------------+------------+
3561
 
3562
 
3563
+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
3564
; Slow 1200mV 0C Model Setup: 'CLOCK_50'                                                                                                                                                                             ;
3565
+--------+----------------------------------------------------+---------------------------------+-------------------------------------------------------------+-------------+--------------+------------+------------+
3566
; Slack  ; From Node                                          ; To Node                         ; Launch Clock                                                ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
3567
+--------+----------------------------------------------------+---------------------------------+-------------------------------------------------------------+-------------+--------------+------------+------------+
3568
; -7.105 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2_ascii_reg1[2]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.369     ; 6.725      ;
3569
; -7.043 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2_ascii_reg1[2]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.369     ; 6.663      ;
3570
; -7.006 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2_ascii_reg1[1]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.382     ; 6.613      ;
3571
; -7.006 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2_ascii_reg1[6]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.382     ; 6.613      ;
3572
; -7.006 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2_ascii_reg1[5]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.382     ; 6.613      ;
3573
; -6.959 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2_ascii_reg1[1]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.382     ; 6.566      ;
3574
; -6.959 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2_ascii_reg1[6]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.382     ; 6.566      ;
3575
; -6.959 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2_ascii_reg1[5]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.382     ; 6.566      ;
3576
; -6.923 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2_ascii_reg1[7]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.382     ; 6.530      ;
3577
; -6.923 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2_ascii_reg1[3]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.382     ; 6.530      ;
3578
; -6.923 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2_ascii_reg1[0]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.382     ; 6.530      ;
3579
; -6.923 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2_ascii_reg1[4]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.382     ; 6.530      ;
3580
; -6.882 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2_ascii_reg1[7]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.382     ; 6.489      ;
3581
; -6.882 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2_ascii_reg1[3]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.382     ; 6.489      ;
3582
; -6.882 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2_ascii_reg1[0]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.382     ; 6.489      ;
3583
; -6.882 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2_ascii_reg1[4]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.382     ; 6.489      ;
3584
; -6.610 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2_ascii_reg1[2]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.371     ; 6.228      ;
3585
; -6.603 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2_ascii_reg1[2]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.369     ; 6.223      ;
3586
; -6.527 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2_ascii_reg1[2]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.369     ; 6.147      ;
3587
; -6.520 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2_ascii_reg1[2]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.369     ; 6.140      ;
3588
; -6.519 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2_ascii_reg1[1]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.382     ; 6.126      ;
3589
; -6.519 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2_ascii_reg1[6]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.382     ; 6.126      ;
3590
; -6.519 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2_ascii_reg1[5]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.382     ; 6.126      ;
3591
; -6.511 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2_ascii_reg1[1]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.384     ; 6.116      ;
3592
; -6.511 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2_ascii_reg1[6]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.384     ; 6.116      ;
3593
; -6.511 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2_ascii_reg1[5]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.384     ; 6.116      ;
3594
; -6.443 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2_ascii_reg1[1]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.382     ; 6.050      ;
3595
; -6.443 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2_ascii_reg1[6]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.382     ; 6.050      ;
3596
; -6.443 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2_ascii_reg1[5]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.382     ; 6.050      ;
3597
; -6.442 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2_ascii_reg1[7]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.382     ; 6.049      ;
3598
; -6.442 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2_ascii_reg1[3]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.382     ; 6.049      ;
3599
; -6.442 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2_ascii_reg1[0]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.382     ; 6.049      ;
3600
; -6.442 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2_ascii_reg1[4]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.382     ; 6.049      ;
3601
; -6.430 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2_ascii_reg1[2]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.369     ; 6.050      ;
3602
; -6.428 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2_ascii_reg1[7]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.384     ; 6.033      ;
3603
; -6.428 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2_ascii_reg1[3]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.384     ; 6.033      ;
3604
; -6.428 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2_ascii_reg1[0]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.384     ; 6.033      ;
3605
; -6.428 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2_ascii_reg1[4]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.384     ; 6.033      ;
3606
; -6.421 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2_ascii_reg1[1]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.382     ; 6.028      ;
3607
; -6.421 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2_ascii_reg1[6]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.382     ; 6.028      ;
3608
; -6.421 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2_ascii_reg1[5]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.382     ; 6.028      ;
3609
; -6.366 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2_ascii_reg1[7]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.382     ; 5.973      ;
3610
; -6.366 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2_ascii_reg1[3]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.382     ; 5.973      ;
3611
; -6.366 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2_ascii_reg1[0]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.382     ; 5.973      ;
3612
; -6.366 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2_ascii_reg1[4]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.382     ; 5.973      ;
3613
; -6.338 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2_ascii_reg1[7]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.382     ; 5.945      ;
3614
; -6.338 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2_ascii_reg1[3]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.382     ; 5.945      ;
3615
; -6.338 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2_ascii_reg1[0]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.382     ; 5.945      ;
3616
; -6.338 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2_ascii_reg1[4]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.382     ; 5.945      ;
3617
; -6.331 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2_ascii_reg1[1]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.382     ; 5.938      ;
3618
; -6.331 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2_ascii_reg1[6]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.382     ; 5.938      ;
3619
; -6.331 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2_ascii_reg1[5]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.382     ; 5.938      ;
3620
; -6.233 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2_ascii_reg1[7]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.382     ; 5.840      ;
3621
; -6.233 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2_ascii_reg1[3]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.382     ; 5.840      ;
3622
; -6.233 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2_ascii_reg1[0]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.382     ; 5.840      ;
3623
; -6.233 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2_ascii_reg1[4]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.382     ; 5.840      ;
3624
; -5.894 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2_read                        ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.382     ; 5.501      ;
3625
; -5.809 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2_read                        ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.382     ; 5.416      ;
3626
; -5.454 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2_read                        ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.382     ; 5.061      ;
3627
; -5.314 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2_read                        ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.384     ; 4.919      ;
3628
; -5.224 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2_read                        ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.382     ; 4.831      ;
3629
; -5.221 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2_read                        ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.382     ; 4.828      ;
3630
; -5.134 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2_read                        ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.382     ; 4.741      ;
3631
; -4.840 ; LCDON_reg                                          ; LCD:lcd_inst|LCD_ON             ; SW[16]                                                      ; CLOCK_50    ; 1.000        ; -4.661     ; 1.158      ;
3632
; -4.485 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2_ascii_reg1[2]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.371     ; 4.103      ;
3633
; -4.401 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2_ascii_reg1[1]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.384     ; 4.006      ;
3634
; -4.401 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2_ascii_reg1[6]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.384     ; 4.006      ;
3635
; -4.401 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2_ascii_reg1[5]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.384     ; 4.006      ;
3636
; -4.324 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2_ascii_reg1[7]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.384     ; 3.929      ;
3637
; -4.324 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2_ascii_reg1[3]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.384     ; 3.929      ;
3638
; -4.324 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2_ascii_reg1[0]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.384     ; 3.929      ;
3639
; -4.324 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2_ascii_reg1[4]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.384     ; 3.929      ;
3640
; -3.291 ; ps2kbd:ps2_kbd_inst|caps[0]                        ; ps2_ascii_reg1[2]               ; clk_div:clkdiv_inst|clock_100Hz                             ; CLOCK_50    ; 1.000        ; 1.422      ; 5.712      ;
3641
; -3.192 ; ps2kbd:ps2_kbd_inst|caps[0]                        ; ps2_ascii_reg1[1]               ; clk_div:clkdiv_inst|clock_100Hz                             ; CLOCK_50    ; 1.000        ; 1.409      ; 5.600      ;
3642
; -3.192 ; ps2kbd:ps2_kbd_inst|caps[0]                        ; ps2_ascii_reg1[6]               ; clk_div:clkdiv_inst|clock_100Hz                             ; CLOCK_50    ; 1.000        ; 1.409      ; 5.600      ;
3643
; -3.192 ; ps2kbd:ps2_kbd_inst|caps[0]                        ; ps2_ascii_reg1[5]               ; clk_div:clkdiv_inst|clock_100Hz                             ; CLOCK_50    ; 1.000        ; 1.409      ; 5.600      ;
3644
; -3.104 ; ps2kbd:ps2_kbd_inst|caps[0]                        ; ps2_ascii_reg1[7]               ; clk_div:clkdiv_inst|clock_100Hz                             ; CLOCK_50    ; 1.000        ; 1.409      ; 5.512      ;
3645
; -3.104 ; ps2kbd:ps2_kbd_inst|caps[0]                        ; ps2_ascii_reg1[3]               ; clk_div:clkdiv_inst|clock_100Hz                             ; CLOCK_50    ; 1.000        ; 1.409      ; 5.512      ;
3646
; -3.104 ; ps2kbd:ps2_kbd_inst|caps[0]                        ; ps2_ascii_reg1[0]               ; clk_div:clkdiv_inst|clock_100Hz                             ; CLOCK_50    ; 1.000        ; 1.409      ; 5.512      ;
3647
; -3.104 ; ps2kbd:ps2_kbd_inst|caps[0]                        ; ps2_ascii_reg1[4]               ; clk_div:clkdiv_inst|clock_100Hz                             ; CLOCK_50    ; 1.000        ; 1.409      ; 5.512      ;
3648
; -3.057 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2_read                        ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -1.384     ; 2.662      ;
3649
; -2.880 ; LCD:lcd_inst|clk_count_400hz[7]                    ; LCD:lcd_inst|clk_count_400hz[0] ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; -0.071     ; 3.808      ;
3650
; -2.880 ; LCD:lcd_inst|clk_count_400hz[7]                    ; LCD:lcd_inst|clk_count_400hz[1] ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; -0.071     ; 3.808      ;
3651
; -2.880 ; LCD:lcd_inst|clk_count_400hz[7]                    ; LCD:lcd_inst|clk_count_400hz[2] ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; -0.071     ; 3.808      ;
3652
; -2.880 ; LCD:lcd_inst|clk_count_400hz[7]                    ; LCD:lcd_inst|clk_count_400hz[3] ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; -0.071     ; 3.808      ;
3653
; -2.880 ; LCD:lcd_inst|clk_count_400hz[7]                    ; LCD:lcd_inst|clk_count_400hz[4] ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; -0.071     ; 3.808      ;
3654
; -2.880 ; LCD:lcd_inst|clk_count_400hz[7]                    ; LCD:lcd_inst|clk_count_400hz[5] ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; -0.071     ; 3.808      ;
3655
; -2.880 ; LCD:lcd_inst|clk_count_400hz[7]                    ; LCD:lcd_inst|clk_count_400hz[6] ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; -0.071     ; 3.808      ;
3656
; -2.880 ; LCD:lcd_inst|clk_count_400hz[7]                    ; LCD:lcd_inst|clk_count_400hz[7] ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; -0.071     ; 3.808      ;
3657
; -2.880 ; LCD:lcd_inst|clk_count_400hz[7]                    ; LCD:lcd_inst|clk_count_400hz[8] ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; -0.071     ; 3.808      ;
3658
; -2.880 ; LCD:lcd_inst|clk_count_400hz[7]                    ; LCD:lcd_inst|clk_count_400hz[9] ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; -0.071     ; 3.808      ;
3659
; -2.879 ; LCD:lcd_inst|clk_count_400hz[8]                    ; LCD:lcd_inst|clk_count_400hz[0] ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; -0.071     ; 3.807      ;
3660
; -2.879 ; LCD:lcd_inst|clk_count_400hz[8]                    ; LCD:lcd_inst|clk_count_400hz[1] ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; -0.071     ; 3.807      ;
3661
; -2.879 ; LCD:lcd_inst|clk_count_400hz[8]                    ; LCD:lcd_inst|clk_count_400hz[2] ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; -0.071     ; 3.807      ;
3662
; -2.879 ; LCD:lcd_inst|clk_count_400hz[8]                    ; LCD:lcd_inst|clk_count_400hz[3] ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; -0.071     ; 3.807      ;
3663
; -2.879 ; LCD:lcd_inst|clk_count_400hz[8]                    ; LCD:lcd_inst|clk_count_400hz[4] ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; -0.071     ; 3.807      ;
3664
; -2.879 ; LCD:lcd_inst|clk_count_400hz[8]                    ; LCD:lcd_inst|clk_count_400hz[5] ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; -0.071     ; 3.807      ;
3665
; -2.879 ; LCD:lcd_inst|clk_count_400hz[8]                    ; LCD:lcd_inst|clk_count_400hz[6] ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; -0.071     ; 3.807      ;
3666
; -2.879 ; LCD:lcd_inst|clk_count_400hz[8]                    ; LCD:lcd_inst|clk_count_400hz[7] ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; -0.071     ; 3.807      ;
3667
; -2.879 ; LCD:lcd_inst|clk_count_400hz[8]                    ; LCD:lcd_inst|clk_count_400hz[8] ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; -0.071     ; 3.807      ;
3668
+--------+----------------------------------------------------+---------------------------------+-------------------------------------------------------------+-------------+--------------+------------+------------+
3669
 
3670
 
3671
+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
3672
; Slow 1200mV 0C Model Setup: 'clk_div:clkdiv_inst|clock_25MHz'                                                                                                                                                                                                                                                                                       ;
3673
+--------+---------------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------------+---------------------------------+---------------------------------+--------------+------------+------------+
3674
; Slack  ; From Node                                                                                                     ; To Node                                                                                                       ; Launch Clock                    ; Latch Clock                     ; Relationship ; Clock Skew ; Data Delay ;
3675
+--------+---------------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------------+---------------------------------+---------------------------------+--------------+------------+------------+
3676
; -5.089 ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a0~portb_address_reg0   ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[0]                                                           ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.329     ; 5.759      ;
3677
; -5.087 ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a0~portb_address_reg0   ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[3]                                                           ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.329     ; 5.757      ;
3678
; -5.086 ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a0~portb_address_reg0   ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[1]                                                           ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.329     ; 5.756      ;
3679
; -4.944 ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a4~portb_address_reg0   ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[0]                                                           ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.318     ; 5.625      ;
3680
; -4.942 ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a4~portb_address_reg0   ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[3]                                                           ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.318     ; 5.623      ;
3681
; -4.941 ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a4~portb_address_reg0   ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[1]                                                           ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.318     ; 5.622      ;
3682
; -4.740 ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a0~portb_address_reg0   ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[2]                                                           ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.329     ; 5.410      ;
3683
; -4.595 ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a4~portb_address_reg0   ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[2]                                                           ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.318     ; 5.276      ;
3684
; -4.237 ; T80se:z80_inst|T80:u0|A[4]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a8~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.193     ; 3.064      ;
3685
; -4.191 ; T80se:z80_inst|T80:u0|A[2]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a8~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.193     ; 3.018      ;
3686
; -4.179 ; T80se:z80_inst|T80:u0|A[10]                                                                                   ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a4~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.029     ; 3.170      ;
3687
; -4.165 ; T80se:z80_inst|T80:u0|A[4]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a0~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.231     ; 2.954      ;
3688
; -4.152 ; T80se:z80_inst|T80:u0|A[10]                                                                                   ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a5~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.019     ; 3.153      ;
3689
; -4.136 ; T80se:z80_inst|T80:u0|A[10]                                                                                   ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a8~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.003     ; 3.153      ;
3690
; -4.000 ; T80se:z80_inst|T80:u0|A[8]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a8~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -1.752     ; 3.268      ;
3691
; -3.965 ; T80se:z80_inst|T80:u0|A[13]                                                                                   ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a8~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -1.702     ; 3.283      ;
3692
; -3.964 ; T80se:z80_inst|T80:u0|A[3]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a8~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.193     ; 2.791      ;
3693
; -3.943 ; T80se:z80_inst|T80:u0|A[8]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a0~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -1.790     ; 3.173      ;
3694
; -3.912 ; T80se:z80_inst|T80:u0|A[10]                                                                                   ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a7~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.012     ; 2.920      ;
3695
; -3.880 ; T80se:z80_inst|T80:u0|A[10]                                                                                   ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a11~porta_address_reg0  ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.036     ; 2.864      ;
3696
; -3.877 ; T80se:z80_inst|T80:u0|A[10]                                                                                   ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a14~porta_address_reg0  ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.038     ; 2.859      ;
3697
; -3.875 ; T80se:z80_inst|T80:u0|A[4]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a11~porta_address_reg0  ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.226     ; 2.669      ;
3698
; -3.841 ; T80se:z80_inst|T80:u0|A[4]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a14~porta_address_reg0  ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.228     ; 2.633      ;
3699
; -3.840 ; T80se:z80_inst|T80:u0|A[2]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a5~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.209     ; 2.651      ;
3700
; -3.832 ; T80se:z80_inst|T80:u0|A[4]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a4~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.219     ; 2.633      ;
3701
; -3.828 ; T80se:z80_inst|T80:u0|A[4]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a5~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.209     ; 2.639      ;
3702
; -3.812 ; T80se:z80_inst|T80:u0|A[13]                                                                                   ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a7~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -1.711     ; 3.121      ;
3703
; -3.675 ; T80se:z80_inst|T80:u0|A[8]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a11~porta_address_reg0  ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -1.785     ; 2.910      ;
3704
; -3.675 ; T80se:z80_inst|T80:u0|A[5]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a8~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.193     ; 2.502      ;
3705
; -3.670 ; T80se:z80_inst|T80:u0|A[8]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a14~porta_address_reg0  ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -1.787     ; 2.903      ;
3706
; -3.646 ; T80se:z80_inst|T80:u0|A[8]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a5~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -1.768     ; 2.898      ;
3707
; -3.646 ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a7~portb_address_reg0 ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a4~portb_address_reg0   ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.049     ; 4.627      ;
3708
; -3.643 ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a7~portb_address_reg0 ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a0~portb_address_reg0   ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.038     ; 4.635      ;
3709
; -3.640 ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a0~portb_address_reg0 ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a0~portb_address_reg0   ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.037     ; 4.633      ;
3710
; -3.637 ; T80se:z80_inst|T80:u0|A[8]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a4~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -1.778     ; 2.879      ;
3711
; -3.633 ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a5~portb_address_reg0 ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a4~portb_address_reg0   ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.046     ; 4.617      ;
3712
; -3.623 ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a5~portb_address_reg0 ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a0~portb_address_reg0   ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.035     ; 4.618      ;
3713
; -3.619 ; T80se:z80_inst|T80:u0|A[13]                                                                                   ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a5~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -1.718     ; 2.921      ;
3714
; -3.617 ; T80se:z80_inst|T80:u0|A[4]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a7~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.202     ; 2.435      ;
3715
; -3.616 ; T80se:z80_inst|T80:u0|A[3]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a0~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.231     ; 2.405      ;
3716
; -3.610 ; T80se:z80_inst|T80:u0|A[13]                                                                                   ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a4~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -1.728     ; 2.902      ;
3717
; -3.595 ; T80se:z80_inst|T80:u0|A[5]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a4~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.219     ; 2.396      ;
3718
; -3.584 ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a0~portb_address_reg0 ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a4~portb_address_reg0   ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.048     ; 4.566      ;
3719
; -3.576 ; T80se:z80_inst|T80:u0|A[2]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a4~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.219     ; 2.377      ;
3720
; -3.575 ; T80se:z80_inst|T80:u0|A[5]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a5~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.209     ; 2.386      ;
3721
; -3.569 ; T80se:z80_inst|T80:u0|A[3]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a14~porta_address_reg0  ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.228     ; 2.361      ;
3722
; -3.552 ; T80se:z80_inst|T80:u0|A[10]                                                                                   ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a0~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.041     ; 2.531      ;
3723
; -3.547 ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a2~portb_address_reg0 ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a0~portb_address_reg0   ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.039     ; 4.538      ;
3724
; -3.540 ; T80se:z80_inst|T80:u0|A[2]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a11~porta_address_reg0  ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.226     ; 2.334      ;
3725
; -3.532 ; T80se:z80_inst|T80:u0|A[3]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a7~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.202     ; 2.350      ;
3726
; -3.532 ; T80se:z80_inst|T80:u0|A[2]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a14~porta_address_reg0  ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.228     ; 2.324      ;
3727
; -3.523 ; T80se:z80_inst|T80:u0|A[2]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a7~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.202     ; 2.341      ;
3728
; -3.491 ; T80se:z80_inst|T80:u0|A[10]                                                                                   ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a10~porta_address_reg0  ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.027     ; 2.484      ;
3729
; -3.461 ; T80se:z80_inst|T80:u0|A[4]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a6~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.194     ; 2.287      ;
3730
; -3.449 ; T80se:z80_inst|T80:u0|A[10]                                                                                   ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a15~porta_address_reg0  ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.021     ; 2.448      ;
3731
; -3.417 ; T80se:z80_inst|T80:u0|A[3]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a2~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.224     ; 2.213      ;
3732
; -3.413 ; T80se:z80_inst|T80:u0|A[4]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a12~porta_address_reg0  ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.195     ; 2.238      ;
3733
; -3.404 ; T80se:z80_inst|T80:u0|A[4]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a9~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.194     ; 2.230      ;
3734
; -3.397 ; T80se:z80_inst|T80:u0|A[9]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a4~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -1.778     ; 2.639      ;
3735
; -3.382 ; T80se:z80_inst|T80:u0|A[13]                                                                                   ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a2~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -1.733     ; 2.669      ;
3736
; -3.381 ; T80se:z80_inst|T80:u0|A[9]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a8~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -1.752     ; 2.649      ;
3737
; -3.371 ; T80se:z80_inst|T80:u0|A[4]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a15~porta_address_reg0  ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.211     ; 2.180      ;
3738
; -3.366 ; T80se:z80_inst|T80:u0|A[13]                                                                                   ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a3~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -1.730     ; 2.656      ;
3739
; -3.358 ; T80se:z80_inst|T80:u0|A[4]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a3~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.221     ; 2.157      ;
3740
; -3.349 ; T80se:z80_inst|T80:u0|A[4]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a1~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.214     ; 2.155      ;
3741
; -3.348 ; T80se:z80_inst|T80:u0|A[3]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a11~porta_address_reg0  ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.226     ; 2.142      ;
3742
; -3.344 ; T80se:z80_inst|T80:u0|A[4]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a10~porta_address_reg0  ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.217     ; 2.147      ;
3743
; -3.343 ; T80se:z80_inst|T80:u0|A[3]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a4~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.219     ; 2.144      ;
3744
; -3.337 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[8]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a5~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.159      ; 4.526      ;
3745
; -3.335 ; T80se:z80_inst|T80:u0|A[5]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a6~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.194     ; 2.161      ;
3746
; -3.333 ; T80se:z80_inst|T80:u0|A[3]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a5~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.209     ; 2.144      ;
3747
; -3.332 ; T80se:z80_inst|T80:u0|A[13]                                                                                   ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a10~porta_address_reg0  ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -1.726     ; 2.626      ;
3748
; -3.331 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[6]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a5~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.159      ; 4.520      ;
3749
; -3.328 ; T80se:z80_inst|T80:u0|A[7]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a7~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -1.752     ; 2.596      ;
3750
; -3.328 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[8]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a0~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.161      ; 4.519      ;
3751
; -3.326 ; T80se:z80_inst|T80:u0|A[5]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a7~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.202     ; 2.144      ;
3752
; -3.326 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[4]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a5~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.159      ; 4.515      ;
3753
; -3.322 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[6]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a0~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.161      ; 4.513      ;
3754
; -3.321 ; T80se:z80_inst|T80:u0|A[5]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a9~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.194     ; 2.147      ;
3755
; -3.317 ; T80se:z80_inst|T80:u0|A[13]                                                                                   ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a1~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -1.723     ; 2.614      ;
3756
; -3.317 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[4]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a0~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.161      ; 4.508      ;
3757
; -3.311 ; T80se:z80_inst|T80:u0|A[7]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a12~porta_address_reg0  ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -1.745     ; 2.586      ;
3758
; -3.296 ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a2~portb_address_reg0 ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a4~portb_address_reg0   ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.050     ; 4.276      ;
3759
; -3.291 ; T80se:z80_inst|T80:u0|A[13]                                                                                   ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a0~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -1.740     ; 2.571      ;
3760
; -3.291 ; T80se:z80_inst|T80:u0|A[2]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a0~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.231     ; 2.080      ;
3761
; -3.286 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[8]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a7~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.162      ; 4.478      ;
3762
; -3.280 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[6]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a7~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.162      ; 4.472      ;
3763
; -3.275 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[4]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a7~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.162      ; 4.467      ;
3764
; -3.270 ; T80se:z80_inst|T80:u0|A[12]                                                                                   ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a8~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -1.702     ; 2.588      ;
3765
; -3.253 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[8]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a2~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.163      ; 4.446      ;
3766
; -3.250 ; T80se:z80_inst|T80:u0|A[13]                                                                                   ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a11~porta_address_reg0  ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -1.735     ; 2.535      ;
3767
; -3.250 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[3]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a5~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.159      ; 4.439      ;
3768
; -3.248 ; T80se:z80_inst|T80:u0|A[13]                                                                                   ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a14~porta_address_reg0  ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -1.737     ; 2.531      ;
3769
; -3.248 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[5]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a5~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.159      ; 4.437      ;
3770
; -3.247 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[6]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a2~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.163      ; 4.440      ;
3771
; -3.244 ; T80se:z80_inst|T80:u0|A[7]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a4~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -1.769     ; 2.495      ;
3772
; -3.242 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[4]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a2~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.163      ; 4.435      ;
3773
; -3.241 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[3]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a0~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.161      ; 4.432      ;
3774
; -3.239 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[5]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a0~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.161      ; 4.430      ;
3775
; -3.233 ; T80se:z80_inst|T80:u0|A[13]                                                                                   ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|address_reg_a[0]                  ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -2.026     ; 2.196      ;
3776
+--------+---------------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------------+---------------------------------+---------------------------------+--------------+------------+------------+
3777
 
3778
 
3779
+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
3780
; Slow 1200mV 0C Model Setup: 'clk_div:clkdiv_inst|clock_100Hz'                                                                                                                                                                      ;
3781
+--------+----------------------------------------------------+-----------------------------+-------------------------------------------------------------+---------------------------------+--------------+------------+------------+
3782
; Slack  ; From Node                                          ; To Node                     ; Launch Clock                                                ; Latch Clock                     ; Relationship ; Clock Skew ; Data Delay ;
3783
+--------+----------------------------------------------------+-----------------------------+-------------------------------------------------------------+---------------------------------+--------------+------------+------------+
3784
; -4.660 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -2.858     ; 2.811      ;
3785
; -4.660 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -2.858     ; 2.811      ;
3786
; -4.255 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -2.858     ; 2.406      ;
3787
; -4.255 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -2.858     ; 2.406      ;
3788
; -4.248 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -2.858     ; 2.399      ;
3789
; -4.248 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -2.858     ; 2.399      ;
3790
; -4.210 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -2.860     ; 2.359      ;
3791
; -4.210 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -2.860     ; 2.359      ;
3792
; -4.205 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -2.858     ; 2.356      ;
3793
; -4.205 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -2.858     ; 2.356      ;
3794
; -4.173 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -2.858     ; 2.324      ;
3795
; -4.173 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -2.858     ; 2.324      ;
3796
; -4.115 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -2.860     ; 2.264      ;
3797
; -4.115 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -2.860     ; 2.264      ;
3798
; -4.055 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -2.858     ; 2.206      ;
3799
; -4.055 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -2.858     ; 2.206      ;
3800
; 0.024  ; ps2kbd:ps2_kbd_inst|caps[0]                        ; ps2kbd:ps2_kbd_inst|caps[1] ; clk_div:clkdiv_inst|clock_100Hz                             ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -0.043     ; 0.952      ;
3801
; 0.253  ; ps2kbd:ps2_kbd_inst|caps[1]                        ; ps2kbd:ps2_kbd_inst|caps[0] ; clk_div:clkdiv_inst|clock_100Hz                             ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -0.043     ; 0.723      ;
3802
+--------+----------------------------------------------------+-----------------------------+-------------------------------------------------------------+---------------------------------+--------------+------------+------------+
3803
 
3804
 
3805
+---------------------------------------------------------------------------------------------------------------------------------------+
3806
; Slow 1200mV 0C Model Setup: 'T80se:z80_inst|MREQ_n'                                                                                   ;
3807
+--------+-----------------------------+----------------+--------------+-----------------------+--------------+------------+------------+
3808
; Slack  ; From Node                   ; To Node        ; Launch Clock ; Latch Clock           ; Relationship ; Clock Skew ; Data Delay ;
3809
+--------+-----------------------------+----------------+--------------+-----------------------+--------------+------------+------------+
3810
; -2.600 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[29][0] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.492      ; 2.780      ;
3811
; -2.594 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[10][7] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.053      ; 2.377      ;
3812
; -2.519 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[13][7] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.027      ; 1.587      ;
3813
; -2.515 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[5][7]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -0.224     ; 1.973      ;
3814
; -2.508 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[8][5]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -0.288     ; 1.951      ;
3815
; -2.487 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[29][3] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.469      ; 2.637      ;
3816
; -2.463 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[29][1] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.463      ; 2.608      ;
3817
; -2.437 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[11][7] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -0.368     ; 1.663      ;
3818
; -2.406 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[29][6] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.489      ; 2.575      ;
3819
; -2.368 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[2][2]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -0.149     ; 1.942      ;
3820
; -2.342 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[26][4] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.670      ; 2.691      ;
3821
; -2.306 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[5][5]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.012      ; 1.917      ;
3822
; -2.287 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[29][7] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.628      ; 2.750      ;
3823
; -2.279 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[0][0]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.232      ; 2.068      ;
3824
; -2.264 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[8][3]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -0.146     ; 1.806      ;
3825
; -2.257 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[3][4]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -0.856     ; 0.822      ;
3826
; -2.243 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[13][2] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -0.149     ; 1.929      ;
3827
; -2.218 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[5][1]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -0.014     ; 1.631      ;
3828
; -2.210 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[0][6]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -0.229     ; 1.810      ;
3829
; -2.205 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[5][2]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -0.111     ; 1.928      ;
3830
; -2.193 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[11][5] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -0.227     ; 1.375      ;
3831
; -2.185 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[30][4] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.819      ; 2.690      ;
3832
; -2.180 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[8][1]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -0.416     ; 1.327      ;
3833
; -2.171 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[21][1] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.947      ; 2.804      ;
3834
; -2.167 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[30][1] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.764      ; 2.607      ;
3835
; -2.157 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[24][1] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.206      ; 3.050      ;
3836
; -2.154 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[21][2] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.933      ; 2.773      ;
3837
; -2.153 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[5][3]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -0.221     ; 1.629      ;
3838
; -2.138 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[25][5] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.206      ; 3.027      ;
3839
; -2.135 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[10][2] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.110      ; 1.976      ;
3840
; -2.125 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[11][3] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -0.230     ; 1.339      ;
3841
; -2.120 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[30][0] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.807      ; 2.608      ;
3842
; -2.109 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[3][7]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.067      ; 2.009      ;
3843
; -2.103 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[24][3] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.226      ; 3.016      ;
3844
; -2.093 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[11][1] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -0.237     ; 1.277      ;
3845
; -2.088 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[29][5] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.628      ; 2.549      ;
3846
; -2.087 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[29][2] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.501      ; 2.417      ;
3847
; -2.086 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[3][0]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -0.858     ; 0.648      ;
3848
; -2.080 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[25][6] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.202      ; 2.957      ;
3849
; -2.072 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[11][0] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -0.038     ; 1.867      ;
3850
; -2.068 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[24][2] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.223      ; 3.127      ;
3851
; -2.066 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[20][3] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.312      ; 2.566      ;
3852
; -2.063 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[25][7] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.200      ; 2.944      ;
3853
; -2.054 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[16][2] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.476      ; 3.212      ;
3854
; -2.053 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[8][2]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.068      ; 1.711      ;
3855
; -2.051 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[25][2] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.355      ; 2.963      ;
3856
; -2.037 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[18][7] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.922      ; 2.641      ;
3857
; -2.030 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[15][5] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.059      ; 1.770      ;
3858
; -2.019 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[25][4] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.173      ; 2.874      ;
3859
; -2.010 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[8][4]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -0.317     ; 1.289      ;
3860
; -1.999 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[8][7]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -0.106     ; 1.720      ;
3861
; -1.994 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[0][5]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.597      ; 2.320      ;
3862
; -1.989 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[3][5]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -0.177     ; 1.373      ;
3863
; -1.972 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[7][7]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.209      ; 2.016      ;
3864
; -1.962 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[8][0]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.065      ; 1.868      ;
3865
; -1.960 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[26][6] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.667      ; 2.309      ;
3866
; -1.958 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[10][5] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -0.152     ; 1.363      ;
3867
; -1.955 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[3][3]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -0.179     ; 1.339      ;
3868
; -1.955 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[14][3] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.246      ; 1.637      ;
3869
; -1.954 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[22][1] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.618      ; 2.400      ;
3870
; -1.953 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[10][3] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -0.558     ; 0.815      ;
3871
; -1.952 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[20][1] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.127      ; 2.755      ;
3872
; -1.950 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[3][2]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -0.752     ; 0.883      ;
3873
; -1.944 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[13][6] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -0.148     ; 1.352      ;
3874
; -1.940 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[24][7] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.239      ; 3.007      ;
3875
; -1.936 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[26][7] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.656      ; 2.420      ;
3876
; -1.935 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[24][4] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.354      ; 3.123      ;
3877
; -1.928 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[29][4] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.609      ; 2.376      ;
3878
; -1.922 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[10][1] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -0.515     ; 1.136      ;
3879
; -1.920 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[21][3] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.947      ; 2.701      ;
3880
; -1.920 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[16][4] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.458      ; 3.214      ;
3881
; -1.919 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[21][7] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.952      ; 2.698      ;
3882
; -1.916 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[26][2] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.814      ; 2.571      ;
3883
; -1.913 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[18][3] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.028      ; 2.774      ;
3884
; -1.911 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[24][6] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.384      ; 3.134      ;
3885
; -1.909 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[18][4] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.088      ; 2.840      ;
3886
; -1.907 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[5][6]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -0.166     ; 1.568      ;
3887
; -1.906 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[20][4] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.121      ; 2.703      ;
3888
; -1.905 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[9][7]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.037      ; 1.663      ;
3889
; -1.901 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[17][2] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.352      ; 2.910      ;
3890
; -1.897 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[26][0] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.814      ; 2.552      ;
3891
; -1.891 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[11][2] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.031      ; 1.643      ;
3892
; -1.890 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[25][1] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.223      ; 2.802      ;
3893
; -1.887 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[22][3] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.751      ; 2.198      ;
3894
; -1.886 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[21][5] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.941      ; 2.508      ;
3895
; -1.884 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[6][7]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.288      ; 2.013      ;
3896
; -1.880 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[10][4] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -0.789     ; 0.918      ;
3897
; -1.878 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[3][6]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -0.752     ; 0.802      ;
3898
; -1.878 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[24][5] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.218      ; 2.924      ;
3899
; -1.878 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[2][6]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -0.176     ; 1.433      ;
3900
; -1.875 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[13][1] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.056      ; 1.766      ;
3901
; -1.873 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[17][4] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.315      ; 3.021      ;
3902
; -1.872 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[22][2] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.602      ; 2.155      ;
3903
; -1.867 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[21][0] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.092      ; 2.800      ;
3904
; -1.854 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[22][5] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.770      ; 2.457      ;
3905
; -1.853 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[20][7] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.149      ; 2.684      ;
3906
; -1.853 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[18][1] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.936      ; 2.616      ;
3907
; -1.851 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[15][2] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.442      ; 2.035      ;
3908
; -1.846 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[18][0] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.079      ; 2.766      ;
3909
; -1.843 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[9][2]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.310      ; 1.709      ;
3910
+--------+-----------------------------+----------------+--------------+-----------------------+--------------+------------+------------+
3911
 
3912
 
3913
+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
3914
; Slow 1200mV 0C Model Setup: 'ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered'                                                                                                                                                                                           ;
3915
+--------+--------------------------------------------------+----------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+
3916
; Slack  ; From Node                                        ; To Node                                            ; Launch Clock                                                ; Latch Clock                                                 ; Relationship ; Clock Skew ; Data Delay ;
3917
+--------+--------------------------------------------------+----------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+
3918
; -1.971 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.072     ; 2.898      ;
3919
; -1.961 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.072     ; 2.888      ;
3920
; -1.857 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.070     ; 2.786      ;
3921
; -1.847 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.070     ; 2.776      ;
3922
; -1.807 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.072     ; 2.734      ;
3923
; -1.693 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.070     ; 2.622      ;
3924
; -1.690 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.072     ; 2.617      ;
3925
; -1.680 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.068     ; 2.611      ;
3926
; -1.676 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.069     ; 2.606      ;
3927
; -1.676 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.069     ; 2.606      ;
3928
; -1.676 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.069     ; 2.606      ;
3929
; -1.676 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.069     ; 2.606      ;
3930
; -1.676 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.069     ; 2.606      ;
3931
; -1.670 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.068     ; 2.601      ;
3932
; -1.666 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.069     ; 2.596      ;
3933
; -1.666 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.069     ; 2.596      ;
3934
; -1.666 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.069     ; 2.596      ;
3935
; -1.666 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.069     ; 2.596      ;
3936
; -1.666 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.069     ; 2.596      ;
3937
; -1.639 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.067     ; 2.571      ;
3938
; -1.629 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.067     ; 2.561      ;
3939
; -1.576 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.070     ; 2.505      ;
3940
; -1.516 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.068     ; 2.447      ;
3941
; -1.512 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.069     ; 2.442      ;
3942
; -1.512 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.069     ; 2.442      ;
3943
; -1.512 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.069     ; 2.442      ;
3944
; -1.512 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.069     ; 2.442      ;
3945
; -1.512 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.069     ; 2.442      ;
3946
; -1.480 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.072     ; 2.407      ;
3947
; -1.475 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.067     ; 2.407      ;
3948
; -1.399 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.068     ; 2.330      ;
3949
; -1.395 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.069     ; 2.325      ;
3950
; -1.395 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.069     ; 2.325      ;
3951
; -1.395 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.069     ; 2.325      ;
3952
; -1.395 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.069     ; 2.325      ;
3953
; -1.395 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.069     ; 2.325      ;
3954
; -1.382 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.071     ; 2.310      ;
3955
; -1.382 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.071     ; 2.310      ;
3956
; -1.382 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.071     ; 2.310      ;
3957
; -1.382 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.071     ; 2.310      ;
3958
; -1.382 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.071     ; 2.310      ;
3959
; -1.382 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.071     ; 2.310      ;
3960
; -1.382 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.071     ; 2.310      ;
3961
; -1.382 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.071     ; 2.310      ;
3962
; -1.382 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.071     ; 2.310      ;
3963
; -1.379 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.071     ; 2.307      ;
3964
; -1.379 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.071     ; 2.307      ;
3965
; -1.379 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.071     ; 2.307      ;
3966
; -1.379 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.071     ; 2.307      ;
3967
; -1.379 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.071     ; 2.307      ;
3968
; -1.379 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.071     ; 2.307      ;
3969
; -1.379 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.071     ; 2.307      ;
3970
; -1.379 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.071     ; 2.307      ;
3971
; -1.379 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.071     ; 2.307      ;
3972
; -1.358 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.067     ; 2.290      ;
3973
; -1.350 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.070     ; 2.279      ;
3974
; -1.234 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.071     ; 2.162      ;
3975
; -1.234 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.071     ; 2.162      ;
3976
; -1.234 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.071     ; 2.162      ;
3977
; -1.234 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.071     ; 2.162      ;
3978
; -1.234 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.071     ; 2.162      ;
3979
; -1.234 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.071     ; 2.162      ;
3980
; -1.234 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.071     ; 2.162      ;
3981
; -1.234 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.071     ; 2.162      ;
3982
; -1.234 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.071     ; 2.162      ;
3983
; -1.184 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.068     ; 2.115      ;
3984
; -1.173 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.069     ; 2.103      ;
3985
; -1.173 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.069     ; 2.103      ;
3986
; -1.173 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.069     ; 2.103      ;
3987
; -1.173 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.069     ; 2.103      ;
3988
; -1.173 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.069     ; 2.103      ;
3989
; -1.171 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.072     ; 2.098      ;
3990
; -1.168 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.072     ; 2.095      ;
3991
; -1.138 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.067     ; 2.070      ;
3992
; -1.132 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.072     ; 2.059      ;
3993
; -1.132 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.072     ; 2.059      ;
3994
; -1.129 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.072     ; 2.056      ;
3995
; -1.129 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.072     ; 2.056      ;
3996
; -1.124 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.071     ; 2.052      ;
3997
; -1.124 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.071     ; 2.052      ;
3998
; -1.124 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.071     ; 2.052      ;
3999
; -1.124 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.071     ; 2.052      ;
4000
; -1.124 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.071     ; 2.052      ;
4001
; -1.124 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.071     ; 2.052      ;
4002
; -1.124 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.071     ; 2.052      ;
4003
; -1.124 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.071     ; 2.052      ;
4004
; -1.124 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.071     ; 2.052      ;
4005
; -1.099 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.068     ; 2.030      ;
4006
; -1.067 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.070     ; 1.996      ;
4007
; -1.023 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.072     ; 1.950      ;
4008
; -0.984 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.072     ; 1.911      ;
4009
; -0.984 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.072     ; 1.911      ;
4010
; -0.921 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.071     ; 1.849      ;
4011
; -0.921 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.071     ; 1.849      ;
4012
; -0.921 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.071     ; 1.849      ;
4013
; -0.921 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.071     ; 1.849      ;
4014
; -0.921 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.071     ; 1.849      ;
4015
; -0.921 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.071     ; 1.849      ;
4016
; -0.921 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.071     ; 1.849      ;
4017
; -0.921 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.071     ; 1.849      ;
4018
+--------+--------------------------------------------------+----------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+
4019
 
4020
 
4021
+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
4022
; Slow 1200mV 0C Model Setup: 'clk_div:clkdiv_inst|clock_25Mhz_int'                                                                                                                                    ;
4023
+--------+-----------------------------------+------------------------------------+-------------------------------------+-------------------------------------+--------------+------------+------------+
4024
; Slack  ; From Node                         ; To Node                            ; Launch Clock                        ; Latch Clock                         ; Relationship ; Clock Skew ; Data Delay ;
4025
+--------+-----------------------------------+------------------------------------+-------------------------------------+-------------------------------------+--------------+------------+------------+
4026
; -0.703 ; clk_div:clkdiv_inst|count_1Mhz[3] ; clk_div:clkdiv_inst|count_1Mhz[4]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.044     ; 1.678      ;
4027
; -0.703 ; clk_div:clkdiv_inst|count_1Mhz[3] ; clk_div:clkdiv_inst|count_1Mhz[2]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.044     ; 1.678      ;
4028
; -0.703 ; clk_div:clkdiv_inst|count_1Mhz[3] ; clk_div:clkdiv_inst|count_1Mhz[1]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.044     ; 1.678      ;
4029
; -0.703 ; clk_div:clkdiv_inst|count_1Mhz[3] ; clk_div:clkdiv_inst|count_1Mhz[0]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.044     ; 1.678      ;
4030
; -0.698 ; clk_div:clkdiv_inst|count_1Mhz[3] ; clk_div:clkdiv_inst|count_1Mhz[3]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.039     ; 1.678      ;
4031
; -0.696 ; clk_div:clkdiv_inst|count_1Mhz[1] ; clk_div:clkdiv_inst|count_1Mhz[4]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.044     ; 1.671      ;
4032
; -0.622 ; clk_div:clkdiv_inst|count_1Mhz[0] ; clk_div:clkdiv_inst|count_1Mhz[4]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.044     ; 1.597      ;
4033
; -0.595 ; clk_div:clkdiv_inst|count_1Mhz[0] ; clk_div:clkdiv_inst|count_1Mhz[3]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.044     ; 1.570      ;
4034
; -0.580 ; clk_div:clkdiv_inst|count_1Mhz[1] ; clk_div:clkdiv_inst|count_1Mhz[2]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.044     ; 1.555      ;
4035
; -0.551 ; clk_div:clkdiv_inst|count_1Mhz[1] ; clk_div:clkdiv_inst|count_1Mhz[3]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.044     ; 1.526      ;
4036
; -0.512 ; clk_div:clkdiv_inst|count_1Mhz[2] ; clk_div:clkdiv_inst|count_1Mhz[4]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.044     ; 1.487      ;
4037
; -0.506 ; clk_div:clkdiv_inst|count_1Mhz[0] ; clk_div:clkdiv_inst|count_1Mhz[2]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.044     ; 1.481      ;
4038
; -0.490 ; clk_div:clkdiv_inst|count_1Mhz[2] ; clk_div:clkdiv_inst|count_1Mhz[3]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.044     ; 1.465      ;
4039
; -0.479 ; clk_div:clkdiv_inst|count_1Mhz[0] ; clk_div:clkdiv_inst|count_1Mhz[1]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.044     ; 1.454      ;
4040
; -0.417 ; clk_div:clkdiv_inst|count_1Mhz[4] ; clk_div:clkdiv_inst|count_1Mhz[3]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.044     ; 1.392      ;
4041
; -0.417 ; clk_div:clkdiv_inst|count_1Mhz[4] ; clk_div:clkdiv_inst|count_1Mhz[2]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.044     ; 1.392      ;
4042
; -0.417 ; clk_div:clkdiv_inst|count_1Mhz[4] ; clk_div:clkdiv_inst|count_1Mhz[1]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.044     ; 1.392      ;
4043
; -0.417 ; clk_div:clkdiv_inst|count_1Mhz[4] ; clk_div:clkdiv_inst|count_1Mhz[0]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.044     ; 1.392      ;
4044
; -0.412 ; clk_div:clkdiv_inst|count_1Mhz[4] ; clk_div:clkdiv_inst|count_1Mhz[4]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.039     ; 1.392      ;
4045
; -0.046 ; clk_div:clkdiv_inst|count_1Mhz[2] ; clk_div:clkdiv_inst|count_1Mhz[2]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.039     ; 1.026      ;
4046
; -0.040 ; clk_div:clkdiv_inst|count_1Mhz[0] ; clk_div:clkdiv_inst|count_1Mhz[0]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.039     ; 1.020      ;
4047
; -0.040 ; clk_div:clkdiv_inst|count_1Mhz[3] ; clk_div:clkdiv_inst|clock_1Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.044     ; 1.015      ;
4048
; -0.024 ; clk_div:clkdiv_inst|count_1Mhz[1] ; clk_div:clkdiv_inst|count_1Mhz[1]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.039     ; 1.004      ;
4049
; -0.024 ; clk_div:clkdiv_inst|count_1Mhz[2] ; clk_div:clkdiv_inst|clock_1Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.044     ; 0.999      ;
4050
; 0.245  ; clk_div:clkdiv_inst|count_1Mhz[4] ; clk_div:clkdiv_inst|clock_1Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.044     ; 0.730      ;
4051
+--------+-----------------------------------+------------------------------------+-------------------------------------+-------------------------------------+--------------+------------+------------+
4052
 
4053
 
4054
+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
4055
; Slow 1200mV 0C Model Setup: 'clk_div:clkdiv_inst|clock_1Mhz_int'                                                                                                                                          ;
4056
+--------+--------------------------------------+--------------------------------------+--------------------------------------+------------------------------------+--------------+------------+------------+
4057
; Slack  ; From Node                            ; To Node                              ; Launch Clock                         ; Latch Clock                        ; Relationship ; Clock Skew ; Data Delay ;
4058
+--------+--------------------------------------+--------------------------------------+--------------------------------------+------------------------------------+--------------+------------+------------+
4059
; -0.480 ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 1.000        ; -0.045     ; 1.454      ;
4060
; -0.343 ; clk_div:clkdiv_inst|count_100Khz[2]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 1.000        ; -0.045     ; 1.317      ;
4061
; -0.158 ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|count_100Khz[2]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 1.000        ; -0.045     ; 1.132      ;
4062
; -0.102 ; clk_div:clkdiv_inst|count_100Khz[1]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 1.000        ; -0.045     ; 1.076      ;
4063
; -0.030 ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.500        ; 0.928      ; 1.690      ;
4064
; 0.002  ; clk_div:clkdiv_inst|count_100Khz[1]  ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 1.000        ; -0.045     ; 0.972      ;
4065
; 0.105  ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|count_100Khz[1]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 1.000        ; -0.045     ; 0.869      ;
4066
; 0.229  ; clk_div:clkdiv_inst|count_100Khz[2]  ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 1.000        ; -0.045     ; 0.745      ;
4067
; 0.230  ; clk_div:clkdiv_inst|count_100Khz[1]  ; clk_div:clkdiv_inst|count_100Khz[2]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 1.000        ; -0.045     ; 0.744      ;
4068
; 0.297  ; clk_div:clkdiv_inst|count_100Khz[2]  ; clk_div:clkdiv_inst|count_100Khz[2]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 1.000        ; -0.039     ; 0.683      ;
4069
; 0.297  ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 1.000        ; -0.039     ; 0.683      ;
4070
; 0.297  ; clk_div:clkdiv_inst|count_100Khz[1]  ; clk_div:clkdiv_inst|count_100Khz[1]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 1.000        ; -0.039     ; 0.683      ;
4071
; 0.464  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_1Mhz_int ; 1.000        ; 0.928      ; 1.696      ;
4072
+--------+--------------------------------------+--------------------------------------+--------------------------------------+------------------------------------+--------------+------------+------------+
4073
 
4074
 
4075
+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
4076
; Slow 1200mV 0C Model Setup: 'clk_div:clkdiv_inst|clock_100Khz_int'                                                                                                                                        ;
4077
+--------+-------------------------------------+-------------------------------------+--------------------------------------+--------------------------------------+--------------+------------+------------+
4078
; Slack  ; From Node                           ; To Node                             ; Launch Clock                         ; Latch Clock                          ; Relationship ; Clock Skew ; Data Delay ;
4079
+--------+-------------------------------------+-------------------------------------+--------------------------------------+--------------------------------------+--------------+------------+------------+
4080
; -0.373 ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 1.000        ; -0.043     ; 1.349      ;
4081
; -0.221 ; clk_div:clkdiv_inst|count_10Khz[2]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 1.000        ; -0.043     ; 1.197      ;
4082
; -0.100 ; clk_div:clkdiv_inst|count_10Khz[1]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 1.000        ; -0.043     ; 1.076      ;
4083
; -0.061 ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|count_10Khz[2]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 1.000        ; -0.043     ; 1.037      ;
4084
; 0.004  ; clk_div:clkdiv_inst|count_10Khz[1]  ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 1.000        ; -0.043     ; 0.972      ;
4085
; 0.066  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int  ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.500        ; 2.099      ; 2.765      ;
4086
; 0.103  ; clk_div:clkdiv_inst|count_10Khz[2]  ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 1.000        ; -0.043     ; 0.873      ;
4087
; 0.106  ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|count_10Khz[1]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 1.000        ; -0.043     ; 0.870      ;
4088
; 0.231  ; clk_div:clkdiv_inst|count_10Khz[1]  ; clk_div:clkdiv_inst|count_10Khz[2]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 1.000        ; -0.043     ; 0.745      ;
4089
; 0.297  ; clk_div:clkdiv_inst|count_10Khz[2]  ; clk_div:clkdiv_inst|count_10Khz[2]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 1.000        ; -0.039     ; 0.683      ;
4090
; 0.297  ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 1.000        ; -0.039     ; 0.683      ;
4091
; 0.297  ; clk_div:clkdiv_inst|count_10Khz[1]  ; clk_div:clkdiv_inst|count_10Khz[1]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 1.000        ; -0.039     ; 0.683      ;
4092
; 0.481  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int  ; clk_div:clkdiv_inst|clock_100Khz_int ; 1.000        ; 2.099      ; 2.850      ;
4093
+--------+-------------------------------------+-------------------------------------+--------------------------------------+--------------------------------------+--------------+------------+------------+
4094
 
4095
 
4096
+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
4097
; Slow 1200mV 0C Model Setup: 'clk_div:clkdiv_inst|clock_10Khz_int'                                                                                                                                     ;
4098
+--------+------------------------------------+------------------------------------+-------------------------------------+-------------------------------------+--------------+------------+------------+
4099
; Slack  ; From Node                          ; To Node                            ; Launch Clock                        ; Latch Clock                         ; Relationship ; Clock Skew ; Data Delay ;
4100
+--------+------------------------------------+------------------------------------+-------------------------------------+-------------------------------------+--------------+------------+------------+
4101
; -0.370 ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 1.000        ; -0.044     ; 1.345      ;
4102
; -0.221 ; clk_div:clkdiv_inst|count_1Khz[2]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 1.000        ; -0.044     ; 1.196      ;
4103
; -0.103 ; clk_div:clkdiv_inst|count_1Khz[1]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 1.000        ; -0.044     ; 1.078      ;
4104
; -0.046 ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|count_1Khz[2]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 1.000        ; -0.044     ; 1.021      ;
4105
; 0.001  ; clk_div:clkdiv_inst|count_1Khz[1]  ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 1.000        ; -0.044     ; 0.974      ;
4106
; 0.042  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int  ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.500        ; 0.791      ; 1.481      ;
4107
; 0.106  ; clk_div:clkdiv_inst|count_1Khz[2]  ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 1.000        ; -0.044     ; 0.869      ;
4108
; 0.109  ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|count_1Khz[1]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 1.000        ; -0.044     ; 0.866      ;
4109
; 0.230  ; clk_div:clkdiv_inst|count_1Khz[1]  ; clk_div:clkdiv_inst|count_1Khz[2]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 1.000        ; -0.044     ; 0.745      ;
4110
; 0.297  ; clk_div:clkdiv_inst|count_1Khz[2]  ; clk_div:clkdiv_inst|count_1Khz[2]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 1.000        ; -0.039     ; 0.683      ;
4111
; 0.297  ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 1.000        ; -0.039     ; 0.683      ;
4112
; 0.297  ; clk_div:clkdiv_inst|count_1Khz[1]  ; clk_div:clkdiv_inst|count_1Khz[1]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 1.000        ; -0.039     ; 0.683      ;
4113
; 0.560  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int  ; clk_div:clkdiv_inst|clock_10Khz_int ; 1.000        ; 0.791      ; 1.463      ;
4114
+--------+------------------------------------+------------------------------------+-------------------------------------+-------------------------------------+--------------+------------+------------+
4115
 
4116
 
4117
+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
4118
; Slow 1200mV 0C Model Setup: 'clk_div:clkdiv_inst|clock_1Khz_int'                                                                                                                                      ;
4119
+--------+-------------------------------------+-------------------------------------+------------------------------------+------------------------------------+--------------+------------+------------+
4120
; Slack  ; From Node                           ; To Node                             ; Launch Clock                       ; Latch Clock                        ; Relationship ; Clock Skew ; Data Delay ;
4121
+--------+-------------------------------------+-------------------------------------+------------------------------------+------------------------------------+--------------+------------+------------+
4122
; -0.073 ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|clock_100hz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 1.000        ; -0.043     ; 1.049      ;
4123
; -0.060 ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|count_100hz[2]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 1.000        ; -0.043     ; 1.036      ;
4124
; -0.035 ; clk_div:clkdiv_inst|count_100hz[2]  ; clk_div:clkdiv_inst|clock_100hz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 1.000        ; -0.043     ; 1.011      ;
4125
; -0.007 ; clk_div:clkdiv_inst|count_100hz[1]  ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 1.000        ; -0.043     ; 0.983      ;
4126
; 0.103  ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|count_100hz[1]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 1.000        ; -0.043     ; 0.873      ;
4127
; 0.225  ; clk_div:clkdiv_inst|count_100hz[1]  ; clk_div:clkdiv_inst|clock_100hz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 1.000        ; -0.043     ; 0.751      ;
4128
; 0.228  ; clk_div:clkdiv_inst|count_100hz[1]  ; clk_div:clkdiv_inst|count_100hz[2]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 1.000        ; -0.043     ; 0.748      ;
4129
; 0.240  ; clk_div:clkdiv_inst|count_100hz[2]  ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 1.000        ; -0.043     ; 0.736      ;
4130
; 0.297  ; clk_div:clkdiv_inst|count_100hz[2]  ; clk_div:clkdiv_inst|count_100hz[2]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 1.000        ; -0.039     ; 0.683      ;
4131
; 0.297  ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 1.000        ; -0.039     ; 0.683      ;
4132
; 0.297  ; clk_div:clkdiv_inst|count_100hz[1]  ; clk_div:clkdiv_inst|count_100hz[1]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 1.000        ; -0.039     ; 0.683      ;
4133
; 0.297  ; clk_div:clkdiv_inst|clock_100hz_int ; clk_div:clkdiv_inst|clock_100hz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 1.000        ; -0.039     ; 0.683      ;
4134
+--------+-------------------------------------+-------------------------------------+------------------------------------+------------------------------------+--------------+------------+------------+
4135
 
4136
 
4137
+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
4138
; Slow 1200mV 0C Model Hold: 'SW[16]'                                                                                                                                                                                           ;
4139
+--------+-----------------------+---------------------------------------------------------------------------------------------------------------+-----------------------+-------------+--------------+------------+------------+
4140
; Slack  ; From Node             ; To Node                                                                                                       ; Launch Clock          ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
4141
+--------+-----------------------+---------------------------------------------------------------------------------------------------------------+-----------------------+-------------+--------------+------------+------------+
4142
; -2.883 ; \random:rand_temp[14] ; T80se:z80_inst|DI_Reg[6]                                                                                      ; CLOCK_50              ; SW[16]      ; 0.000        ; 4.655      ; 1.983      ;
4143
; -2.825 ; \random:rand_temp[14] ; T80se:z80_inst|T80:u0|IR[6]                                                                                   ; CLOCK_50              ; SW[16]      ; 0.000        ; 4.655      ; 2.041      ;
4144
; -2.555 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|T80:u0|IR[3]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.333      ; 5.192      ;
4145
; -2.401 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|T80:u0|IR[4]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.334      ; 5.347      ;
4146
; -2.366 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|DI_Reg[4]                                                                                      ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.334      ; 5.382      ;
4147
; -2.331 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|DI_Reg[3]                                                                                      ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.334      ; 5.417      ;
4148
; -2.288 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|DI_Reg[7]                                                                                      ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.334      ; 5.460      ;
4149
; -2.284 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|T80:u0|IR[5]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.334      ; 5.464      ;
4150
; -2.247 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|T80:u0|IR[7]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.343      ; 5.510      ;
4151
; -2.207 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|DI_Reg[5]                                                                                      ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.334      ; 5.541      ;
4152
; -2.141 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|T80:u0|IR[3]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; -0.500       ; 7.333      ; 5.106      ;
4153
; -2.130 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|T80:u0|IR[6]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.334      ; 5.618      ;
4154
; -1.997 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|T80:u0|IR[4]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; -0.500       ; 7.334      ; 5.251      ;
4155
; -1.969 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|DI_Reg[4]                                                                                      ; T80se:z80_inst|MREQ_n ; SW[16]      ; -0.500       ; 7.334      ; 5.279      ;
4156
; -1.954 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|DI_Reg[2]                                                                                      ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.334      ; 5.794      ;
4157
; -1.912 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|DI_Reg[3]                                                                                      ; T80se:z80_inst|MREQ_n ; SW[16]      ; -0.500       ; 7.334      ; 5.336      ;
4158
; -1.852 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|T80:u0|IR[2]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.343      ; 5.905      ;
4159
; -1.839 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|DI_Reg[6]                                                                                      ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.334      ; 5.909      ;
4160
; -1.676 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|T80:u0|IR[5]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; -0.500       ; 7.334      ; 5.572      ;
4161
; -1.668 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|T80:u0|IR[6]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; -0.500       ; 7.334      ; 5.580      ;
4162
; -1.661 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|DI_Reg[7]                                                                                      ; T80se:z80_inst|MREQ_n ; SW[16]      ; -0.500       ; 7.334      ; 5.587      ;
4163
; -1.621 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|T80:u0|IR[7]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; -0.500       ; 7.343      ; 5.636      ;
4164
; -1.613 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|DI_Reg[5]                                                                                      ; T80se:z80_inst|MREQ_n ; SW[16]      ; -0.500       ; 7.334      ; 5.635      ;
4165
; -1.500 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|DI_Reg[1]                                                                                      ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.334      ; 6.248      ;
4166
; -1.490 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|DI_Reg[6]                                                                                      ; T80se:z80_inst|MREQ_n ; SW[16]      ; -0.500       ; 7.334      ; 5.758      ;
4167
; -1.386 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|T80:u0|IR[0]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.342      ; 6.370      ;
4168
; -1.326 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|T80:u0|IR[1]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.343      ; 6.431      ;
4169
; -1.312 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|DI_Reg[2]                                                                                      ; T80se:z80_inst|MREQ_n ; SW[16]      ; -0.500       ; 7.334      ; 5.936      ;
4170
; -1.297 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|DI_Reg[0]                                                                                      ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.334      ; 6.451      ;
4171
; -1.210 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|T80:u0|IR[2]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; -0.500       ; 7.343      ; 6.047      ;
4172
; -1.208 ; T80se:z80_inst|MREQ_n ; \pinout_process:LEDR_sig[2]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.322      ; 6.528      ;
4173
; -1.180 ; T80se:z80_inst|MREQ_n ; \pinout_process:LEDR_sig[0]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.334      ; 6.568      ;
4174
; -1.180 ; T80se:z80_inst|MREQ_n ; \pinout_process:LEDR_sig[1]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.334      ; 6.568      ;
4175
; -1.180 ; T80se:z80_inst|MREQ_n ; \pinout_process:LEDR_sig[3]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.334      ; 6.568      ;
4176
; -1.180 ; T80se:z80_inst|MREQ_n ; \pinout_process:LEDR_sig[4]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.334      ; 6.568      ;
4177
; -1.180 ; T80se:z80_inst|MREQ_n ; \pinout_process:LEDR_sig[5]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.334      ; 6.568      ;
4178
; -1.180 ; T80se:z80_inst|MREQ_n ; \pinout_process:LEDR_sig[7]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.334      ; 6.568      ;
4179
; -1.173 ; T80se:z80_inst|MREQ_n ; \pinout_process:LEDR_sig[6]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.330      ; 6.571      ;
4180
; -1.152 ; \random:rand_temp[11] ; T80se:z80_inst|T80:u0|IR[3]                                                                                   ; CLOCK_50              ; SW[16]      ; 0.000        ; 4.654      ; 3.713      ;
4181
; -1.140 ; T80se:z80_inst|MREQ_n ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a4~porta_address_reg0 ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.644      ; 6.948      ;
4182
; -1.140 ; T80se:z80_inst|MREQ_n ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a4~porta_datain_reg0  ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.649      ; 6.953      ;
4183
; -1.140 ; T80se:z80_inst|MREQ_n ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a4~porta_we_reg       ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.644      ; 6.948      ;
4184
; -1.135 ; T80se:z80_inst|MREQ_n ; LCDON_reg                                                                                                     ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.319      ; 6.598      ;
4185
; -1.120 ; T80se:z80_inst|MREQ_n ; \pinout_process:LEDG_sig[0]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.330      ; 6.624      ;
4186
; -1.120 ; T80se:z80_inst|MREQ_n ; \pinout_process:LEDG_sig[1]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.330      ; 6.624      ;
4187
; -1.120 ; T80se:z80_inst|MREQ_n ; \pinout_process:LEDG_sig[2]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.330      ; 6.624      ;
4188
; -1.120 ; T80se:z80_inst|MREQ_n ; \pinout_process:LEDG_sig[3]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.330      ; 6.624      ;
4189
; -1.120 ; T80se:z80_inst|MREQ_n ; \pinout_process:LEDG_sig[4]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.330      ; 6.624      ;
4190
; -1.120 ; T80se:z80_inst|MREQ_n ; \pinout_process:LEDG_sig[5]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.330      ; 6.624      ;
4191
; -1.120 ; T80se:z80_inst|MREQ_n ; \pinout_process:LEDG_sig[6]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.330      ; 6.624      ;
4192
; -1.120 ; T80se:z80_inst|MREQ_n ; \pinout_process:LEDG_sig[7]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.330      ; 6.624      ;
4193
; -1.117 ; T80se:z80_inst|MREQ_n ; \pinout_process:NUMBER2_sig[0]                                                                                ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.271      ; 6.568      ;
4194
; -1.117 ; T80se:z80_inst|MREQ_n ; \pinout_process:NUMBER2_sig[1]                                                                                ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.271      ; 6.568      ;
4195
; -1.117 ; T80se:z80_inst|MREQ_n ; \pinout_process:NUMBER2_sig[2]                                                                                ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.271      ; 6.568      ;
4196
; -1.117 ; T80se:z80_inst|MREQ_n ; \pinout_process:NUMBER2_sig[3]                                                                                ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.271      ; 6.568      ;
4197
; -1.117 ; T80se:z80_inst|MREQ_n ; \pinout_process:NUMBER3_sig[0]                                                                                ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.271      ; 6.568      ;
4198
; -1.117 ; T80se:z80_inst|MREQ_n ; \pinout_process:NUMBER3_sig[1]                                                                                ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.271      ; 6.568      ;
4199
; -1.117 ; T80se:z80_inst|MREQ_n ; \pinout_process:NUMBER3_sig[2]                                                                                ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.271      ; 6.568      ;
4200
; -1.117 ; T80se:z80_inst|MREQ_n ; \pinout_process:NUMBER3_sig[3]                                                                                ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.271      ; 6.568      ;
4201
; -1.105 ; T80se:z80_inst|MREQ_n ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a4~porta_address_reg0   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.647      ; 6.986      ;
4202
; -1.105 ; T80se:z80_inst|MREQ_n ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a4~porta_datain_reg0    ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.652      ; 6.991      ;
4203
; -1.105 ; T80se:z80_inst|MREQ_n ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a4~porta_we_reg         ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.647      ; 6.986      ;
4204
; -1.092 ; T80se:z80_inst|MREQ_n ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a0~porta_address_reg0   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.648      ; 7.000      ;
4205
; -1.092 ; T80se:z80_inst|MREQ_n ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a0~porta_datain_reg0    ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.653      ; 7.005      ;
4206
; -1.092 ; T80se:z80_inst|MREQ_n ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a0~porta_we_reg         ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.648      ; 7.000      ;
4207
; -1.088 ; T80se:z80_inst|MREQ_n ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a5~porta_address_reg0 ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.626      ; 6.982      ;
4208
; -1.088 ; T80se:z80_inst|MREQ_n ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a5~porta_datain_reg0  ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.631      ; 6.987      ;
4209
; -1.088 ; T80se:z80_inst|MREQ_n ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a5~porta_we_reg       ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.626      ; 6.982      ;
4210
; -1.072 ; T80se:z80_inst|MREQ_n ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a6~porta_address_reg0 ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.645      ; 7.017      ;
4211
; -1.072 ; T80se:z80_inst|MREQ_n ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a6~porta_datain_reg0  ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.650      ; 7.022      ;
4212
; -1.072 ; T80se:z80_inst|MREQ_n ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a6~porta_we_reg       ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.645      ; 7.017      ;
4213
; -1.064 ; T80se:z80_inst|MREQ_n ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a3~porta_address_reg0 ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.646      ; 7.026      ;
4214
; -1.064 ; T80se:z80_inst|MREQ_n ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a3~porta_datain_reg0  ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.651      ; 7.031      ;
4215
; -1.064 ; T80se:z80_inst|MREQ_n ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a3~porta_we_reg       ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.646      ; 7.026      ;
4216
; -1.056 ; T80se:z80_inst|MREQ_n ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a1~porta_address_reg0 ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.642      ; 7.030      ;
4217
; -1.056 ; T80se:z80_inst|MREQ_n ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a1~porta_datain_reg0  ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.647      ; 7.035      ;
4218
; -1.056 ; T80se:z80_inst|MREQ_n ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a1~porta_we_reg       ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.642      ; 7.030      ;
4219
; -1.043 ; T80se:z80_inst|MREQ_n ; \pinout_process:LEDR_sig[10]                                                                                  ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.334      ; 6.705      ;
4220
; -1.043 ; T80se:z80_inst|MREQ_n ; \pinout_process:LEDR_sig[13]                                                                                  ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.334      ; 6.705      ;
4221
; -1.042 ; T80se:z80_inst|MREQ_n ; \pinout_process:LEDR_sig[11]                                                                                  ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.334      ; 6.706      ;
4222
; -1.041 ; T80se:z80_inst|MREQ_n ; \pinout_process:LEDR_sig[14]                                                                                  ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.334      ; 6.707      ;
4223
; -1.039 ; T80se:z80_inst|MREQ_n ; \pinout_process:LEDR_sig[8]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.334      ; 6.709      ;
4224
; -1.038 ; T80se:z80_inst|MREQ_n ; \pinout_process:LEDR_sig[9]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.334      ; 6.710      ;
4225
; -1.037 ; T80se:z80_inst|MREQ_n ; \pinout_process:LEDR_sig[12]                                                                                  ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.334      ; 6.711      ;
4226
; -1.037 ; T80se:z80_inst|MREQ_n ; \pinout_process:LEDR_sig[15]                                                                                  ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.334      ; 6.711      ;
4227
; -1.033 ; T80se:z80_inst|MREQ_n ; \pinout_process:NUMBER4_sig[0]                                                                                ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.324      ; 6.705      ;
4228
; -1.033 ; T80se:z80_inst|MREQ_n ; \pinout_process:NUMBER4_sig[1]                                                                                ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.324      ; 6.705      ;
4229
; -1.033 ; T80se:z80_inst|MREQ_n ; \pinout_process:NUMBER4_sig[2]                                                                                ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.324      ; 6.705      ;
4230
; -1.033 ; T80se:z80_inst|MREQ_n ; \pinout_process:NUMBER4_sig[3]                                                                                ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.324      ; 6.705      ;
4231
; -1.018 ; T80se:z80_inst|MREQ_n ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a0~porta_address_reg0 ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.627      ; 7.053      ;
4232
; -1.018 ; T80se:z80_inst|MREQ_n ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a0~porta_datain_reg0  ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.632      ; 7.058      ;
4233
; -1.018 ; T80se:z80_inst|MREQ_n ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a0~porta_we_reg       ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.627      ; 7.053      ;
4234
; -1.010 ; T80se:z80_inst|MREQ_n ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a2~porta_address_reg0 ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.630      ; 7.064      ;
4235
; -1.010 ; T80se:z80_inst|MREQ_n ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a2~porta_datain_reg0  ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.635      ; 7.069      ;
4236
; -1.010 ; T80se:z80_inst|MREQ_n ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a2~porta_we_reg       ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.630      ; 7.064      ;
4237
; -1.009 ; T80se:z80_inst|MREQ_n ; \pinout_process:NUMBER5_sig[0]                                                                                ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.290      ; 6.695      ;
4238
; -1.009 ; T80se:z80_inst|MREQ_n ; \pinout_process:NUMBER5_sig[1]                                                                                ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.290      ; 6.695      ;
4239
; -1.009 ; T80se:z80_inst|MREQ_n ; \pinout_process:NUMBER5_sig[2]                                                                                ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.290      ; 6.695      ;
4240
; -1.009 ; T80se:z80_inst|MREQ_n ; \pinout_process:NUMBER5_sig[3]                                                                                ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.290      ; 6.695      ;
4241
; -0.981 ; T80se:z80_inst|MREQ_n ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a7~porta_address_reg0 ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 7.629      ; 7.092      ;
4242
+--------+-----------------------+---------------------------------------------------------------------------------------------------------------+-----------------------+-------------+--------------+------------+------------+
4243
 
4244
 
4245
+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
4246
; Slow 1200mV 0C Model Hold: 'ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered'                                                                                                                                                                                            ;
4247
+--------+--------------------------------------------------+----------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+
4248
; Slack  ; From Node                                        ; To Node                                            ; Launch Clock                                                ; Latch Clock                                                 ; Relationship ; Clock Skew ; Data Delay ;
4249
+--------+--------------------------------------------------+----------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+
4250
; -0.303 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set             ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 4.044      ; 4.135      ;
4251
; -0.047 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set             ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; -0.500       ; 4.044      ; 3.891      ;
4252
; 0.354  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.072      ; 0.597      ;
4253
; 0.354  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.072      ; 0.597      ;
4254
; 0.365  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.072      ; 0.608      ;
4255
; 0.397  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.072      ; 0.640      ;
4256
; 0.416  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.072      ; 0.659      ;
4257
; 0.417  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.072      ; 0.660      ;
4258
; 0.527  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.072      ; 0.770      ;
4259
; 0.528  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.072      ; 0.771      ;
4260
; 0.528  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.072      ; 0.771      ;
4261
; 0.530  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.072      ; 0.773      ;
4262
; 0.672  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.072      ; 0.915      ;
4263
; 0.832  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.072      ; 1.075      ;
4264
; 0.865  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.072      ; 1.108      ;
4265
; 0.873  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.072      ; 1.116      ;
4266
; 0.988  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.072      ; 1.231      ;
4267
; 0.991  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.074      ; 1.236      ;
4268
; 0.993  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.072      ; 1.236      ;
4269
; 1.006  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.074      ; 1.251      ;
4270
; 1.016  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.076      ; 1.263      ;
4271
; 1.020  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.074      ; 1.265      ;
4272
; 1.100  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.072      ; 1.343      ;
4273
; 1.118  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.072      ; 1.361      ;
4274
; 1.121  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.072      ; 1.364      ;
4275
; 1.135  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.072      ; 1.378      ;
4276
; 1.136  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.072      ; 1.379      ;
4277
; 1.206  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.074      ; 1.451      ;
4278
; 1.213  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.074      ; 1.458      ;
4279
; 1.245  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.072      ; 1.488      ;
4280
; 1.246  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.072      ; 1.489      ;
4281
; 1.388  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.072      ; 1.631      ;
4282
; 1.395  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.072      ; 1.638      ;
4283
; 1.396  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.072      ; 1.639      ;
4284
; 1.406  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.072      ; 1.649      ;
4285
; 1.432  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.072      ; 1.675      ;
4286
; 1.432  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.072      ; 1.675      ;
4287
; 1.432  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.072      ; 1.675      ;
4288
; 1.432  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.072      ; 1.675      ;
4289
; 1.456  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.072      ; 1.699      ;
4290
; 1.498  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.072      ; 1.741      ;
4291
; 1.587  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.074      ; 1.832      ;
4292
; 1.619  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.076      ; 1.866      ;
4293
; 1.621  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.073      ; 1.865      ;
4294
; 1.621  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.073      ; 1.865      ;
4295
; 1.621  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.073      ; 1.865      ;
4296
; 1.621  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.073      ; 1.865      ;
4297
; 1.621  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.073      ; 1.865      ;
4298
; 1.621  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.073      ; 1.865      ;
4299
; 1.621  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.073      ; 1.865      ;
4300
; 1.621  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.073      ; 1.865      ;
4301
; 1.621  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.073      ; 1.865      ;
4302
; 1.719  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.073      ; 1.963      ;
4303
; 1.719  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.073      ; 1.963      ;
4304
; 1.719  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.073      ; 1.963      ;
4305
; 1.719  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.073      ; 1.963      ;
4306
; 1.719  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.073      ; 1.963      ;
4307
; 1.719  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.073      ; 1.963      ;
4308
; 1.719  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.073      ; 1.963      ;
4309
; 1.719  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.073      ; 1.963      ;
4310
; 1.719  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.073      ; 1.963      ;
4311
; 1.829  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.073      ; 2.073      ;
4312
; 1.829  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.073      ; 2.073      ;
4313
; 1.829  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.073      ; 2.073      ;
4314
; 1.829  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.073      ; 2.073      ;
4315
; 1.829  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.073      ; 2.073      ;
4316
; 1.829  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.073      ; 2.073      ;
4317
; 1.829  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.073      ; 2.073      ;
4318
; 1.829  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.073      ; 2.073      ;
4319
; 1.829  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.073      ; 2.073      ;
4320
; 1.843  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.072      ; 2.086      ;
4321
; 1.856  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.077      ; 2.104      ;
4322
; 1.892  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.075      ; 2.138      ;
4323
; 1.892  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.075      ; 2.138      ;
4324
; 1.892  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.075      ; 2.138      ;
4325
; 1.892  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.075      ; 2.138      ;
4326
; 1.892  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.075      ; 2.138      ;
4327
; 1.895  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.077      ; 2.143      ;
4328
; 1.979  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.073      ; 2.223      ;
4329
; 1.979  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.073      ; 2.223      ;
4330
; 1.979  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.073      ; 2.223      ;
4331
; 1.979  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.073      ; 2.223      ;
4332
; 1.979  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.073      ; 2.223      ;
4333
; 1.979  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.073      ; 2.223      ;
4334
; 1.979  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.073      ; 2.223      ;
4335
; 1.979  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.073      ; 2.223      ;
4336
; 1.979  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.073      ; 2.223      ;
4337
; 1.989  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.073      ; 2.233      ;
4338
; 1.989  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.073      ; 2.233      ;
4339
; 1.989  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.073      ; 2.233      ;
4340
; 1.989  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.073      ; 2.233      ;
4341
; 1.989  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.073      ; 2.233      ;
4342
; 1.989  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.073      ; 2.233      ;
4343
; 1.989  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.073      ; 2.233      ;
4344
; 1.989  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.073      ; 2.233      ;
4345
; 1.989  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.073      ; 2.233      ;
4346
; 2.031  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.075      ; 2.277      ;
4347
; 2.058  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.077      ; 2.306      ;
4348
; 2.092  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.075      ; 2.338      ;
4349
; 2.092  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.075      ; 2.338      ;
4350
+--------+--------------------------------------------------+----------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+
4351
 
4352
 
4353
+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
4354
; Slow 1200mV 0C Model Hold: 'CLOCK_50'                                                                                                                                                                                                                   ;
4355
+--------+-------------------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+-------------+--------------+------------+------------+
4356
; Slack  ; From Node                                                   ; To Node                                                     ; Launch Clock                                                ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
4357
+--------+-------------------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+-------------+--------------+------------+------------+
4358
; -0.171 ; clk_div:clkdiv_inst|clock_25Mhz_int                         ; clk_div:clkdiv_inst|clock_25Mhz_int                         ; clk_div:clkdiv_inst|clock_25Mhz_int                         ; CLOCK_50    ; 0.000        ; 2.763      ; 2.996      ;
4359
; -0.165 ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|LCD_EN                                         ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 2.753      ; 2.992      ;
4360
; -0.152 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 0.000        ; 2.776      ; 3.038      ;
4361
; 0.061  ; clk_div:clkdiv_inst|clock_357Mhz_int                        ; clk_div:clkdiv_inst|clock_357Mhz                            ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.722      ; 0.954      ;
4362
; 0.063  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|LCD_ON                                         ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 2.758      ; 3.225      ;
4363
; 0.080  ; clk_div:clkdiv_inst|clock_25Mhz_int                         ; clk_div:clkdiv_inst|clock_25MHz                             ; clk_div:clkdiv_inst|clock_25Mhz_int                         ; CLOCK_50    ; 0.000        ; 2.763      ; 3.247      ;
4364
; 0.119  ; clk_div:clkdiv_inst|clock_10Mhz_int                         ; clk_div:clkdiv_inst|clock_10MHz                             ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.722      ; 1.012      ;
4365
; 0.140  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|state.reset1                                   ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 2.753      ; 3.297      ;
4366
; 0.140  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|next_command.line2                             ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 2.753      ; 3.297      ;
4367
; 0.140  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|state.line2                                    ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 2.753      ; 3.297      ;
4368
; 0.140  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|next_command.mode_set                          ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 2.753      ; 3.297      ;
4369
; 0.140  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|state.mode_set                                 ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 2.753      ; 3.297      ;
4370
; 0.140  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|data_bus_value[7]                              ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 2.753      ; 3.297      ;
4371
; 0.142  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|next_command.return_home                       ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 2.754      ; 3.300      ;
4372
; 0.186  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|state.hold                                     ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 2.747      ; 3.337      ;
4373
; 0.186  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|state.return_home                              ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 2.747      ; 3.337      ;
4374
; 0.186  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|next_command.print_string                      ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 2.747      ; 3.337      ;
4375
; 0.186  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|state.print_string                             ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 2.747      ; 3.337      ;
4376
; 0.190  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; -0.500       ; 2.776      ; 2.880      ;
4377
; 0.208  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|state.drop_LCD_EN                              ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 2.748      ; 3.360      ;
4378
; 0.208  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|next_command.reset2                            ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 2.748      ; 3.360      ;
4379
; 0.208  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|state.reset2                                   ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 2.748      ; 3.360      ;
4380
; 0.208  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|next_command.reset3                            ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 2.748      ; 3.360      ;
4381
; 0.208  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|state.reset3                                   ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 2.748      ; 3.360      ;
4382
; 0.208  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|next_command.func_set                          ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 2.748      ; 3.360      ;
4383
; 0.208  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|state.func_set                                 ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 2.748      ; 3.360      ;
4384
; 0.208  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|next_command.display_off                       ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 2.748      ; 3.360      ;
4385
; 0.208  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|state.display_off                              ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 2.748      ; 3.360      ;
4386
; 0.208  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|next_command.display_clear                     ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 2.748      ; 3.360      ;
4387
; 0.208  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|state.display_clear                            ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 2.748      ; 3.360      ;
4388
; 0.208  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|next_command.display_on                        ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 2.748      ; 3.360      ;
4389
; 0.208  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|state.display_on                               ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 2.748      ; 3.360      ;
4390
; 0.208  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|LCD_RS                                         ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 2.748      ; 3.360      ;
4391
; 0.220  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|data_bus_value[0]                              ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 2.758      ; 3.382      ;
4392
; 0.220  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|data_bus_value[1]                              ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 2.758      ; 3.382      ;
4393
; 0.220  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|data_bus_value[2]                              ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 2.758      ; 3.382      ;
4394
; 0.220  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|data_bus_value[3]                              ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 2.758      ; 3.382      ;
4395
; 0.220  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|data_bus_value[6]                              ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 2.758      ; 3.382      ;
4396
; 0.291  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|LCD_EN                                         ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; -0.500       ; 2.753      ; 2.948      ;
4397
; 0.305  ; clk_div:clkdiv_inst|clock_100hz_int                         ; clk_div:clkdiv_inst|clock_100Hz                             ; clk_div:clkdiv_inst|clock_1Khz_int                          ; CLOCK_50    ; 0.000        ; 1.560      ; 2.056      ;
4398
; 0.340  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|char_count_sig[3]                              ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 2.754      ; 3.498      ;
4399
; 0.340  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|char_count_sig[4]                              ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 2.754      ; 3.498      ;
4400
; 0.340  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|char_count_sig[1]                              ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 2.754      ; 3.498      ;
4401
; 0.340  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|char_count_sig[2]                              ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 2.754      ; 3.498      ;
4402
; 0.340  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|char_count_sig[0]                              ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 2.754      ; 3.498      ;
4403
; 0.354  ; clk_div:clkdiv_inst|count_10Mhz[1]                          ; clk_div:clkdiv_inst|count_10Mhz[1]                          ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.072      ; 0.597      ;
4404
; 0.354  ; clk_div:clkdiv_inst|count_10Mhz[2]                          ; clk_div:clkdiv_inst|count_10Mhz[2]                          ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.072      ; 0.597      ;
4405
; 0.354  ; clk_div:clkdiv_inst|clock_10Mhz_int                         ; clk_div:clkdiv_inst|clock_10Mhz_int                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.072      ; 0.597      ;
4406
; 0.354  ; clk_div:clkdiv_inst|count_357Mhz[1]                         ; clk_div:clkdiv_inst|count_357Mhz[1]                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.072      ; 0.597      ;
4407
; 0.354  ; clk_div:clkdiv_inst|count_357Mhz[2]                         ; clk_div:clkdiv_inst|count_357Mhz[2]                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.072      ; 0.597      ;
4408
; 0.354  ; clk_div:clkdiv_inst|count_357Mhz[3]                         ; clk_div:clkdiv_inst|count_357Mhz[3]                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.072      ; 0.597      ;
4409
; 0.354  ; clk_div:clkdiv_inst|clock_357Mhz_int                        ; clk_div:clkdiv_inst|clock_357Mhz_int                        ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.072      ; 0.597      ;
4410
; 0.354  ; LCD:lcd_inst|next_command.reset2                            ; LCD:lcd_inst|next_command.reset2                            ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.072      ; 0.597      ;
4411
; 0.354  ; LCD:lcd_inst|next_command.reset3                            ; LCD:lcd_inst|next_command.reset3                            ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.072      ; 0.597      ;
4412
; 0.354  ; LCD:lcd_inst|next_command.func_set                          ; LCD:lcd_inst|next_command.func_set                          ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.072      ; 0.597      ;
4413
; 0.354  ; LCD:lcd_inst|next_command.display_off                       ; LCD:lcd_inst|next_command.display_off                       ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.072      ; 0.597      ;
4414
; 0.354  ; LCD:lcd_inst|next_command.display_clear                     ; LCD:lcd_inst|next_command.display_clear                     ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.072      ; 0.597      ;
4415
; 0.354  ; LCD:lcd_inst|next_command.display_on                        ; LCD:lcd_inst|next_command.display_on                        ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.072      ; 0.597      ;
4416
; 0.354  ; LCD:lcd_inst|data_bus_value[0]                              ; LCD:lcd_inst|data_bus_value[0]                              ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.072      ; 0.597      ;
4417
; 0.354  ; LCD:lcd_inst|data_bus_value[6]                              ; LCD:lcd_inst|data_bus_value[6]                              ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.072      ; 0.597      ;
4418
; 0.354  ; LCD:lcd_inst|LCD_RS                                         ; LCD:lcd_inst|LCD_RS                                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.072      ; 0.597      ;
4419
; 0.354  ; LCD:lcd_inst|LCD_ON                                         ; LCD:lcd_inst|LCD_ON                                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.072      ; 0.597      ;
4420
; 0.355  ; LCD:lcd_inst|next_command.line2                             ; LCD:lcd_inst|next_command.line2                             ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.071      ; 0.597      ;
4421
; 0.355  ; LCD:lcd_inst|next_command.mode_set                          ; LCD:lcd_inst|next_command.mode_set                          ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.071      ; 0.597      ;
4422
; 0.355  ; LCD:lcd_inst|data_bus_value[7]                              ; LCD:lcd_inst|data_bus_value[7]                              ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.071      ; 0.597      ;
4423
; 0.364  ; clk_div:clkdiv_inst|count_10Mhz[0]                          ; clk_div:clkdiv_inst|count_10Mhz[0]                          ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.073      ; 0.608      ;
4424
; 0.365  ; clk_div:clkdiv_inst|count_357Mhz[0]                         ; clk_div:clkdiv_inst|count_357Mhz[0]                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.072      ; 0.608      ;
4425
; 0.365  ; LCD:lcd_inst|state.drop_LCD_EN                              ; LCD:lcd_inst|state.drop_LCD_EN                              ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.072      ; 0.608      ;
4426
; 0.375  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|data_bus_value[4]                              ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 2.747      ; 3.526      ;
4427
; 0.375  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|data_bus_value[5]                              ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 2.747      ; 3.526      ;
4428
; 0.383  ; clk_div:clkdiv_inst|clock_25Mhz_int                         ; clk_div:clkdiv_inst|clock_25Mhz_int                         ; clk_div:clkdiv_inst|clock_25Mhz_int                         ; CLOCK_50    ; -0.500       ; 2.763      ; 3.050      ;
4429
; 0.388  ; LCD:lcd_inst|clk_count_400hz[19]                            ; LCD:lcd_inst|clk_count_400hz[19]                            ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.071      ; 0.630      ;
4430
; 0.399  ; clk_div:clkdiv_inst|count_357Mhz[3]                         ; clk_div:clkdiv_inst|count_357Mhz[0]                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.072      ; 0.642      ;
4431
; 0.402  ; LCD:lcd_inst|next_command.print_string                      ; LCD:lcd_inst|state.print_string                             ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.072      ; 0.645      ;
4432
; 0.402  ; clk_div:clkdiv_inst|count_357Mhz[3]                         ; clk_div:clkdiv_inst|clock_357Mhz_int                        ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.072      ; 0.645      ;
4433
; 0.403  ; LCD:lcd_inst|state.reset2                                   ; LCD:lcd_inst|next_command.reset3                            ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.072      ; 0.646      ;
4434
; 0.404  ; LCD:lcd_inst|state.func_set                                 ; LCD:lcd_inst|next_command.display_off                       ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.072      ; 0.647      ;
4435
; 0.406  ; clk_div:clkdiv_inst|count_357Mhz[1]                         ; clk_div:clkdiv_inst|count_357Mhz[2]                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.072      ; 0.649      ;
4436
; 0.407  ; clk_div:clkdiv_inst|count_357Mhz[0]                         ; clk_div:clkdiv_inst|count_357Mhz[1]                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.072      ; 0.650      ;
4437
; 0.415  ; \random:rand_temp[4]                                        ; \random:rand_temp[5]                                        ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.073      ; 0.659      ;
4438
; 0.415  ; \random:rand_temp[11]                                       ; \random:rand_temp[12]                                       ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.073      ; 0.659      ;
4439
; 0.416  ; \random:rand_temp[3]                                        ; \random:rand_temp[4]                                        ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.073      ; 0.660      ;
4440
; 0.416  ; \random:rand_temp[0]                                        ; \random:rand_temp[1]                                        ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.073      ; 0.660      ;
4441
; 0.417  ; \random:rand_temp[1]                                        ; \random:rand_temp[2]                                        ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.073      ; 0.661      ;
4442
; 0.418  ; LCD:lcd_inst|state.display_clear                            ; LCD:lcd_inst|next_command.display_on                        ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.072      ; 0.661      ;
4443
; 0.421  ; LCD:lcd_inst|state.display_off                              ; LCD:lcd_inst|next_command.display_clear                     ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.072      ; 0.664      ;
4444
; 0.432  ; ps2_read                                                    ; ps2_ascii_reg1[3]                                           ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.072      ; 0.675      ;
4445
; 0.433  ; ps2_read                                                    ; ps2_ascii_reg1[0]                                           ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.072      ; 0.676      ;
4446
; 0.458  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|LCD_ON                                         ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; -0.500       ; 2.758      ; 3.120      ;
4447
; 0.471  ; clk_div:clkdiv_inst|clock_25Mhz_int                         ; clk_div:clkdiv_inst|clock_25MHz                             ; clk_div:clkdiv_inst|clock_25Mhz_int                         ; CLOCK_50    ; -0.500       ; 2.763      ; 3.138      ;
4448
; 0.494  ; LCD:lcd_inst|next_command.reset2                            ; LCD:lcd_inst|state.reset2                                   ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.072      ; 0.737      ;
4449
; 0.508  ; LCD:lcd_inst|next_command.reset3                            ; LCD:lcd_inst|state.reset3                                   ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.072      ; 0.751      ;
4450
; 0.508  ; LCD:lcd_inst|next_command.mode_set                          ; LCD:lcd_inst|state.mode_set                                 ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.071      ; 0.750      ;
4451
; 0.509  ; LCD:lcd_inst|next_command.display_off                       ; LCD:lcd_inst|state.display_off                              ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.072      ; 0.752      ;
4452
; 0.513  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|state.reset1                                   ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; -0.500       ; 2.753      ; 3.170      ;
4453
; 0.513  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|next_command.line2                             ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; -0.500       ; 2.753      ; 3.170      ;
4454
; 0.513  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|state.line2                                    ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; -0.500       ; 2.753      ; 3.170      ;
4455
; 0.513  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|next_command.mode_set                          ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; -0.500       ; 2.753      ; 3.170      ;
4456
; 0.513  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|state.mode_set                                 ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; -0.500       ; 2.753      ; 3.170      ;
4457
; 0.513  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|data_bus_value[7]                              ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; -0.500       ; 2.753      ; 3.170      ;
4458
+--------+-------------------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+-------------+--------------+------------+------------+
4459
 
4460
 
4461
+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
4462
; Slow 1200mV 0C Model Hold: 'clk_div:clkdiv_inst|clock_100Khz_int'                                                                                                                                        ;
4463
+-------+-------------------------------------+-------------------------------------+--------------------------------------+--------------------------------------+--------------+------------+------------+
4464
; Slack ; From Node                           ; To Node                             ; Launch Clock                         ; Latch Clock                          ; Relationship ; Clock Skew ; Data Delay ;
4465
+-------+-------------------------------------+-------------------------------------+--------------------------------------+--------------------------------------+--------------+------------+------------+
4466
; 0.073 ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int  ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.000        ; 2.205      ; 2.662      ;
4467
; 0.387 ; clk_div:clkdiv_inst|count_10Khz[2]  ; clk_div:clkdiv_inst|count_10Khz[2]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.000        ; 0.039      ; 0.597      ;
4468
; 0.387 ; clk_div:clkdiv_inst|count_10Khz[1]  ; clk_div:clkdiv_inst|count_10Khz[1]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.000        ; 0.039      ; 0.597      ;
4469
; 0.398 ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.000        ; 0.039      ; 0.608      ;
4470
; 0.423 ; clk_div:clkdiv_inst|count_10Khz[1]  ; clk_div:clkdiv_inst|count_10Khz[2]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.000        ; 0.043      ; 0.637      ;
4471
; 0.465 ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int  ; clk_div:clkdiv_inst|clock_100Khz_int ; -0.500       ; 2.205      ; 2.554      ;
4472
; 0.590 ; clk_div:clkdiv_inst|count_10Khz[2]  ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.000        ; 0.043      ; 0.804      ;
4473
; 0.590 ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|count_10Khz[1]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.000        ; 0.043      ; 0.804      ;
4474
; 0.627 ; clk_div:clkdiv_inst|count_10Khz[1]  ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.000        ; 0.043      ; 0.841      ;
4475
; 0.639 ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|count_10Khz[2]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.000        ; 0.043      ; 0.853      ;
4476
; 0.726 ; clk_div:clkdiv_inst|count_10Khz[1]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.000        ; 0.043      ; 0.940      ;
4477
; 0.846 ; clk_div:clkdiv_inst|count_10Khz[2]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.000        ; 0.043      ; 1.060      ;
4478
; 0.995 ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.000        ; 0.043      ; 1.209      ;
4479
+-------+-------------------------------------+-------------------------------------+--------------------------------------+--------------------------------------+--------------+------------+------------+
4480
 
4481
 
4482
+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
4483
; Slow 1200mV 0C Model Hold: 'clk_div:clkdiv_inst|clock_10Khz_int'                                                                                                                                     ;
4484
+-------+------------------------------------+------------------------------------+-------------------------------------+-------------------------------------+--------------+------------+------------+
4485
; Slack ; From Node                          ; To Node                            ; Launch Clock                        ; Latch Clock                         ; Relationship ; Clock Skew ; Data Delay ;
4486
+-------+------------------------------------+------------------------------------+-------------------------------------+-------------------------------------+--------------+------------+------------+
4487
; 0.100 ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int  ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.000        ; 0.842      ; 1.326      ;
4488
; 0.387 ; clk_div:clkdiv_inst|count_1Khz[2]  ; clk_div:clkdiv_inst|count_1Khz[2]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.000        ; 0.039      ; 0.597      ;
4489
; 0.387 ; clk_div:clkdiv_inst|count_1Khz[1]  ; clk_div:clkdiv_inst|count_1Khz[1]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.000        ; 0.039      ; 0.597      ;
4490
; 0.398 ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.000        ; 0.039      ; 0.608      ;
4491
; 0.422 ; clk_div:clkdiv_inst|count_1Khz[1]  ; clk_div:clkdiv_inst|count_1Khz[2]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.000        ; 0.044      ; 0.637      ;
4492
; 0.584 ; clk_div:clkdiv_inst|count_1Khz[2]  ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.000        ; 0.044      ; 0.799      ;
4493
; 0.589 ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|count_1Khz[1]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.000        ; 0.044      ; 0.804      ;
4494
; 0.596 ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int  ; clk_div:clkdiv_inst|clock_10Khz_int ; -0.500       ; 0.842      ; 1.322      ;
4495
; 0.627 ; clk_div:clkdiv_inst|count_1Khz[1]  ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.000        ; 0.044      ; 0.842      ;
4496
; 0.641 ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|count_1Khz[2]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.000        ; 0.044      ; 0.856      ;
4497
; 0.727 ; clk_div:clkdiv_inst|count_1Khz[1]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.000        ; 0.044      ; 0.942      ;
4498
; 0.844 ; clk_div:clkdiv_inst|count_1Khz[2]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.000        ; 0.044      ; 1.059      ;
4499
; 1.000 ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.000        ; 0.044      ; 1.215      ;
4500
+-------+------------------------------------+------------------------------------+-------------------------------------+-------------------------------------+--------------+------------+------------+
4501
 
4502
 
4503
+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
4504
; Slow 1200mV 0C Model Hold: 'clk_div:clkdiv_inst|clock_1Mhz_int'                                                                                                                                          ;
4505
+-------+--------------------------------------+--------------------------------------+--------------------------------------+------------------------------------+--------------+------------+------------+
4506
; Slack ; From Node                            ; To Node                              ; Launch Clock                         ; Latch Clock                        ; Relationship ; Clock Skew ; Data Delay ;
4507
+-------+--------------------------------------+--------------------------------------+--------------------------------------+------------------------------------+--------------+------------+------------+
4508
; 0.181 ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.000        ; 0.985      ; 1.550      ;
4509
; 0.387 ; clk_div:clkdiv_inst|count_100Khz[2]  ; clk_div:clkdiv_inst|count_100Khz[2]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.000        ; 0.039      ; 0.597      ;
4510
; 0.387 ; clk_div:clkdiv_inst|count_100Khz[1]  ; clk_div:clkdiv_inst|count_100Khz[1]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.000        ; 0.039      ; 0.597      ;
4511
; 0.398 ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.000        ; 0.039      ; 0.608      ;
4512
; 0.420 ; clk_div:clkdiv_inst|count_100Khz[1]  ; clk_div:clkdiv_inst|count_100Khz[2]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.000        ; 0.045      ; 0.636      ;
4513
; 0.427 ; clk_div:clkdiv_inst|count_100Khz[2]  ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.000        ; 0.045      ; 0.643      ;
4514
; 0.579 ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|count_100Khz[1]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.000        ; 0.045      ; 0.795      ;
4515
; 0.625 ; clk_div:clkdiv_inst|count_100Khz[1]  ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.000        ; 0.045      ; 0.841      ;
4516
; 0.652 ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_1Mhz_int ; -0.500       ; 0.985      ; 1.521      ;
4517
; 0.724 ; clk_div:clkdiv_inst|count_100Khz[1]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.000        ; 0.045      ; 0.940      ;
4518
; 0.784 ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|count_100Khz[2]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.000        ; 0.045      ; 1.000      ;
4519
; 1.005 ; clk_div:clkdiv_inst|count_100Khz[2]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.000        ; 0.045      ; 1.221      ;
4520
; 1.142 ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.000        ; 0.045      ; 1.358      ;
4521
+-------+--------------------------------------+--------------------------------------+--------------------------------------+------------------------------------+--------------+------------+------------+
4522
 
4523
 
4524
+--------------------------------------------------------------------------------------------------------------------------------------+
4525
; Slow 1200mV 0C Model Hold: 'T80se:z80_inst|MREQ_n'                                                                                   ;
4526
+-------+-----------------------------+----------------+--------------+-----------------------+--------------+------------+------------+
4527
; Slack ; From Node                   ; To Node        ; Launch Clock ; Latch Clock           ; Relationship ; Clock Skew ; Data Delay ;
4528
+-------+-----------------------------+----------------+--------------+-----------------------+--------------+------------+------------+
4529
; 0.336 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[19][6] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.260      ; 2.126      ;
4530
; 0.359 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[27][4] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.139      ; 2.028      ;
4531
; 0.444 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[19][7] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.266      ; 2.240      ;
4532
; 0.450 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[19][1] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.270      ; 2.250      ;
4533
; 0.475 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[19][2] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.107      ; 2.112      ;
4534
; 0.575 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[27][6] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.021      ; 2.126      ;
4535
; 0.585 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[19][3] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.107      ; 2.222      ;
4536
; 0.606 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[27][5] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.144      ; 2.280      ;
4537
; 0.611 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[19][0] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.260      ; 2.401      ;
4538
; 0.658 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[23][3] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.539      ; 1.727      ;
4539
; 0.659 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[19][5] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.091      ; 2.280      ;
4540
; 0.684 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[27][7] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.027      ; 2.241      ;
4541
; 0.687 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[27][1] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.029      ; 2.246      ;
4542
; 0.725 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[19][4] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.086      ; 2.341      ;
4543
; 0.731 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[27][3] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.037      ; 2.298      ;
4544
; 0.755 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[23][5] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.523      ; 1.808      ;
4545
; 0.757 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[27][0] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.033      ; 2.320      ;
4546
; 0.787 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[31][1] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.213      ; 2.530      ;
4547
; 0.790 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[28][3] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.855      ; 2.175      ;
4548
; 0.812 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[28][1] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.686      ; 2.028      ;
4549
; 0.825 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[14][6] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.355      ; 1.710      ;
4550
; 0.832 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[23][0] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.375      ; 1.737      ;
4551
; 0.839 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[20][3] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.983      ; 2.352      ;
4552
; 0.841 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[20][5] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.956      ; 2.327      ;
4553
; 0.850 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[28][2] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.838      ; 2.218      ;
4554
; 0.860 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[7][3]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 0.852      ; 1.242      ;
4555
; 0.866 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[1][7]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 0.343      ; 0.739      ;
4556
; 0.869 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[15][3] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.096      ; 1.495      ;
4557
; 0.872 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[6][2]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 0.929      ; 1.331      ;
4558
; 0.891 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[6][6]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 0.882      ; 1.303      ;
4559
; 0.894 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[31][2] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.184      ; 2.608      ;
4560
; 0.897 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[27][2] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.998      ; 2.425      ;
4561
; 0.897 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[28][5] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.698      ; 2.125      ;
4562
; 0.905 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[3][1]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 0.168      ; 0.603      ;
4563
; 0.908 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[17][5] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.034      ; 2.472      ;
4564
; 0.914 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[31][6] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.203      ; 2.647      ;
4565
; 0.922 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[9][1]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 0.515      ; 0.967      ;
4566
; 0.931 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[30][3] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.596      ; 2.057      ;
4567
; 0.932 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[25][3] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.060      ; 2.522      ;
4568
; 0.936 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[1][1]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 0.711      ; 1.177      ;
4569
; 0.943 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[9][3]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 0.656      ; 1.129      ;
4570
; 0.948 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[23][7] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.372      ; 1.850      ;
4571
; 0.948 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[15][6] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.232      ; 1.710      ;
4572
; 0.954 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[4][4]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 0.796      ; 1.280      ;
4573
; 0.957 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[12][7] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.159      ; 1.646      ;
4574
; 0.966 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[1][5]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 0.715      ; 1.211      ;
4575
; 0.966 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[28][0] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.728      ; 2.224      ;
4576
; 0.970 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[12][3] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 0.969      ; 1.469      ;
4577
; 0.976 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[17][3] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.019      ; 2.525      ;
4578
; 0.977 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[22][4] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.397      ; 1.904      ;
4579
; 0.978 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[23][4] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.520      ; 2.028      ;
4580
; 0.981 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[31][4] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.156      ; 2.667      ;
4581
; 1.000 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[28][4] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.701      ; 2.231      ;
4582
; 1.004 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[15][7] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.144      ; 1.678      ;
4583
; 1.006 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[17][7] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.032      ; 2.568      ;
4584
; 1.009 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[12][1] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.097      ; 1.636      ;
4585
; 1.011 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[18][5] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.752      ; 2.293      ;
4586
; 1.014 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[6][3]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 0.939      ; 1.483      ;
4587
; 1.018 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[6][1]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.068      ; 1.616      ;
4588
; 1.019 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[0][1]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 0.627      ; 1.176      ;
4589
; 1.020 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[28][6] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.698      ; 2.248      ;
4590
; 1.024 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[15][0] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.140      ; 1.694      ;
4591
; 1.031 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[31][3] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.198      ; 2.759      ;
4592
; 1.032 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[1][0]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 0.708      ; 1.270      ;
4593
; 1.035 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[21][4] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.598      ; 2.163      ;
4594
; 1.035 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[16][5] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.324      ; 2.889      ;
4595
; 1.035 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[14][1] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.074      ; 1.639      ;
4596
; 1.037 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[6][0]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.094      ; 1.661      ;
4597
; 1.039 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[23][2] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.541      ; 2.110      ;
4598
; 1.040 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[31][0] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.227      ; 2.797      ;
4599
; 1.040 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[12][0] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.128      ; 1.698      ;
4600
; 1.042 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[17][0] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.017      ; 2.589      ;
4601
; 1.043 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[9][6]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 0.754      ; 1.327      ;
4602
; 1.048 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[23][6] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.386      ; 1.964      ;
4603
; 1.050 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[1][4]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 0.713      ; 1.293      ;
4604
; 1.052 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[1][3]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 0.148      ; 0.730      ;
4605
; 1.052 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[20][0] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.951      ; 2.533      ;
4606
; 1.053 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[1][6]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 0.706      ; 1.289      ;
4607
; 1.057 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[31][7] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.210      ; 2.797      ;
4608
; 1.057 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[7][1]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.027      ; 1.614      ;
4609
; 1.062 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[16][7] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.176      ; 2.768      ;
4610
; 1.064 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[1][2]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 0.705      ; 1.299      ;
4611
; 1.065 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[14][3] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 0.900      ; 1.495      ;
4612
; 1.066 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[12][6] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.320      ; 1.916      ;
4613
; 1.074 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[9][4]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 0.706      ; 1.310      ;
4614
; 1.074 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[7][4]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 0.670      ; 1.274      ;
4615
; 1.081 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[14][7] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.035      ; 1.646      ;
4616
; 1.081 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[6][5]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.069      ; 1.680      ;
4617
; 1.082 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[16][0] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.183      ; 2.795      ;
4618
; 1.084 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[22][3] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.399      ; 2.013      ;
4619
; 1.089 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[17][2] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.024      ; 2.643      ;
4620
; 1.091 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[16][3] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.332      ; 2.953      ;
4621
; 1.091 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[0][7]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 0.117      ; 0.738      ;
4622
; 1.093 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[17][6] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.034      ; 2.657      ;
4623
; 1.095 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[21][6] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.771      ; 2.396      ;
4624
; 1.106 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[25][2] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.027      ; 2.663      ;
4625
; 1.106 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[20][2] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.806      ; 2.442      ;
4626
; 1.108 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[0][3]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 0.094      ; 0.732      ;
4627
; 1.111 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[31][5] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.231      ; 2.872      ;
4628
; 1.113 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[16][1] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 2.311      ; 2.954      ;
4629
+-------+-----------------------------+----------------+--------------+-----------------------+--------------+------------+------------+
4630
 
4631
 
4632
+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
4633
; Slow 1200mV 0C Model Hold: 'clk_div:clkdiv_inst|clock_25MHz'                                                                                                                                                                                                                                                                      ;
4634
+-------+----------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------------+---------------------------------+---------------------------------+--------------+------------+------------+
4635
; Slack ; From Node                                                                                    ; To Node                                                                                                       ; Launch Clock                    ; Latch Clock                     ; Relationship ; Clock Skew ; Data Delay ;
4636
+-------+----------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------------+---------------------------------+---------------------------------+--------------+------------+------------+
4637
; 0.348 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[1]                                         ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a0~portb_address_reg0   ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.331      ; 0.880      ;
4638
; 0.353 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[8]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[8]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.073      ; 0.597      ;
4639
; 0.353 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[9]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[9]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.073      ; 0.597      ;
4640
; 0.354 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[4]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[4]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.072      ; 0.597      ;
4641
; 0.354 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[5]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[5]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.072      ; 0.597      ;
4642
; 0.354 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[6]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[6]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.072      ; 0.597      ;
4643
; 0.354 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[3]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[3]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.072      ; 0.597      ;
4644
; 0.354 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[2]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[2]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.072      ; 0.597      ;
4645
; 0.354 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[7]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[7]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.072      ; 0.597      ;
4646
; 0.395 ; video:video_inst|VGA_SYNC:vga_sync_inst|horiz_sync                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|horiz_sync_out                                                        ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.073      ; 0.639      ;
4647
; 0.447 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[0]                                         ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a4~portb_address_reg0   ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.331      ; 0.979      ;
4648
; 0.462 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[2]                                         ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a4~portb_address_reg0   ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.331      ; 0.994      ;
4649
; 0.550 ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|address_reg_a[0] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|out_address_reg_a[0]              ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.073      ; 0.794      ;
4650
; 0.575 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[7]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[7]                                                          ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.073      ; 0.819      ;
4651
; 0.599 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[4]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[4]                                                       ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.072      ; 0.842      ;
4652
; 0.604 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[4]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[4]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.073      ; 0.848      ;
4653
; 0.606 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[6]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[6]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.073      ; 0.850      ;
4654
; 0.610 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[9]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[9]                                                       ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.072      ; 0.853      ;
4655
; 0.614 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[1]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[1]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.073      ; 0.858      ;
4656
; 0.618 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[2]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[2]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.073      ; 0.862      ;
4657
; 0.620 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[1]                                         ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a4~portb_address_reg0   ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.320      ; 1.141      ;
4658
; 0.621 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[3]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[3]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.073      ; 0.865      ;
4659
; 0.659 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[2]                                      ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[2]                                                           ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.073      ; 0.903      ;
4660
; 0.674 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[2]                                         ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a0~portb_address_reg0   ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.342      ; 1.217      ;
4661
; 0.684 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[0]                                         ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a0~portb_address_reg0   ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.342      ; 1.227      ;
4662
; 0.708 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[6]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a3~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.317      ; 1.226      ;
4663
; 0.730 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[0]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[0]                                                       ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.074      ; 0.975      ;
4664
; 0.735 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[4]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[4]                                                          ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.073      ; 0.979      ;
4665
; 0.735 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[6]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a4~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.314      ; 1.250      ;
4666
; 0.740 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[3]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a4~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.316      ; 1.257      ;
4667
; 0.742 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[5]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[5]                                                          ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.073      ; 0.986      ;
4668
; 0.743 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[5]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[5]                                                       ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.072      ; 0.986      ;
4669
; 0.749 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[6]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a6~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.315      ; 1.265      ;
4670
; 0.757 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[3]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a3~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.319      ; 1.277      ;
4671
; 0.763 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[6]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a1~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.311      ; 1.275      ;
4672
; 0.763 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[9]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|vert_sync                                                             ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.074      ; 1.008      ;
4673
; 0.770 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[5]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a6~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.317      ; 1.288      ;
4674
; 0.782 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[3]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a6~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.317      ; 1.300      ;
4675
; 0.786 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[5]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a3~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.319      ; 1.306      ;
4676
; 0.822 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[4]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|vert_sync                                                             ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.073      ; 1.066      ;
4677
; 0.825 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[6]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[6]                                                       ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.074      ; 1.070      ;
4678
; 0.825 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[6]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|horiz_sync                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.074      ; 1.070      ;
4679
; 0.851 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[2]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[2]                                                          ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.062      ; 1.084      ;
4680
; 0.894 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[6]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[7]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.073      ; 1.138      ;
4681
; 0.896 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[5]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[6]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.073      ; 1.140      ;
4682
; 0.900 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[1]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[2]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.073      ; 1.144      ;
4683
; 0.900 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[0]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[1]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.073      ; 1.144      ;
4684
; 0.903 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[4]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[6]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.073      ; 1.147      ;
4685
; 0.906 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[2]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[3]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.073      ; 1.150      ;
4686
; 0.908 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[3]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[4]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.073      ; 1.152      ;
4687
; 0.911 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[0]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[2]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.073      ; 1.155      ;
4688
; 0.917 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[2]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[4]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.073      ; 1.161      ;
4689
; 0.925 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[7]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[7]                                                       ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.072      ; 1.168      ;
4690
; 0.929 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[9]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[9]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.073      ; 1.173      ;
4691
; 0.930 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[5]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[5]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.073      ; 1.174      ;
4692
; 0.931 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[4]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a3~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.319      ; 1.451      ;
4693
; 0.936 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[0]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[0]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.073      ; 1.180      ;
4694
; 0.936 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[8]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[8]                                                          ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.074      ; 1.181      ;
4695
; 0.947 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[3]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[3]                                                          ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.073      ; 1.191      ;
4696
; 0.953 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[8]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[8]                                                       ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.072      ; 1.196      ;
4697
; 0.955 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[6]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[6]                                                          ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.073      ; 1.199      ;
4698
; 0.955 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[7]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[7]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.073      ; 1.199      ;
4699
; 0.977 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[4]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a6~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.317      ; 1.495      ;
4700
; 0.981 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[2]                                      ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[1]                                                           ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.073      ; 1.225      ;
4701
; 0.982 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[2]                                      ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[3]                                                           ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.073      ; 1.226      ;
4702
; 0.983 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[1]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[1]                                                       ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.074      ; 1.228      ;
4703
; 0.984 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[1]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[1]                                                          ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.074      ; 1.229      ;
4704
; 0.984 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[2]                                      ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[0]                                                           ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.073      ; 1.228      ;
4705
; 0.986 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[3]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[3]                                                       ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.072      ; 1.229      ;
4706
; 0.995 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[5]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[7]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.073      ; 1.239      ;
4707
; 0.999 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[1]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[3]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.073      ; 1.243      ;
4708
; 1.001 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[2]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[2]                                                       ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.074      ; 1.246      ;
4709
; 1.002 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[4]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[7]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.073      ; 1.246      ;
4710
; 1.010 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[1]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[4]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.073      ; 1.254      ;
4711
; 1.010 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[0]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[3]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.073      ; 1.254      ;
4712
; 1.018 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[3]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[6]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.073      ; 1.262      ;
4713
; 1.021 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[0]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[4]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.073      ; 1.265      ;
4714
; 1.025 ; video:video_inst|VGA_SYNC:vga_sync_inst|video_on_h                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[2]                                                           ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.075      ; 1.271      ;
4715
; 1.027 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[2]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[6]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.073      ; 1.271      ;
4716
; 1.031 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[5]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a4~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.316      ; 1.548      ;
4717
; 1.039 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[8]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[8]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.073      ; 1.283      ;
4718
; 1.040 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[0]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|horiz_sync                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.074      ; 1.285      ;
4719
; 1.054 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[3]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a1~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.313      ; 1.568      ;
4720
; 1.058 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[0]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[0]                                                          ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.063      ; 1.292      ;
4721
; 1.070 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[5]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a1~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.313      ; 1.584      ;
4722
; 1.107 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[4]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a2~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.302      ; 1.610      ;
4723
; 1.115 ; video:video_inst|VGA_SYNC:vga_sync_inst|vert_sync                                            ; video:video_inst|VGA_SYNC:vga_sync_inst|vert_sync_out                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.080      ; 1.366      ;
4724
; 1.117 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[3]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[7]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.073      ; 1.361      ;
4725
; 1.120 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[1]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[6]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.073      ; 1.364      ;
4726
; 1.126 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[2]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[7]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.073      ; 1.370      ;
4727
; 1.128 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[3]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a7~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.301      ; 1.630      ;
4728
; 1.131 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[0]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[6]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.073      ; 1.375      ;
4729
; 1.147 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[5]                                         ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[2]                                                           ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.075      ; 1.393      ;
4730
; 1.153 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[2]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|horiz_sync                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.074      ; 1.398      ;
4731
; 1.159 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[3]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a0~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.300      ; 1.660      ;
4732
; 1.159 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[4]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a0~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.300      ; 1.660      ;
4733
; 1.161 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[3]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a2~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.302      ; 1.664      ;
4734
; 1.163 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[3]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a5~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.298      ; 1.662      ;
4735
; 1.198 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[8]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[1]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.073      ; 1.442      ;
4736
; 1.198 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[8]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[0]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.073      ; 1.442      ;
4737
+-------+----------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------------+---------------------------------+---------------------------------+--------------+------------+------------+
4738
 
4739
 
4740
+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
4741
; Slow 1200mV 0C Model Hold: 'clk_div:clkdiv_inst|clock_1Khz_int'                                                                                                                                      ;
4742
+-------+-------------------------------------+-------------------------------------+------------------------------------+------------------------------------+--------------+------------+------------+
4743
; Slack ; From Node                           ; To Node                             ; Launch Clock                       ; Latch Clock                        ; Relationship ; Clock Skew ; Data Delay ;
4744
+-------+-------------------------------------+-------------------------------------+------------------------------------+------------------------------------+--------------+------------+------------+
4745
; 0.387 ; clk_div:clkdiv_inst|count_100hz[2]  ; clk_div:clkdiv_inst|count_100hz[2]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 0.000        ; 0.039      ; 0.597      ;
4746
; 0.387 ; clk_div:clkdiv_inst|count_100hz[1]  ; clk_div:clkdiv_inst|count_100hz[1]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 0.000        ; 0.039      ; 0.597      ;
4747
; 0.387 ; clk_div:clkdiv_inst|clock_100hz_int ; clk_div:clkdiv_inst|clock_100hz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 0.000        ; 0.039      ; 0.597      ;
4748
; 0.398 ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 0.000        ; 0.039      ; 0.608      ;
4749
; 0.417 ; clk_div:clkdiv_inst|count_100hz[2]  ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 0.000        ; 0.043      ; 0.631      ;
4750
; 0.425 ; clk_div:clkdiv_inst|count_100hz[1]  ; clk_div:clkdiv_inst|count_100hz[2]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 0.000        ; 0.043      ; 0.639      ;
4751
; 0.427 ; clk_div:clkdiv_inst|count_100hz[1]  ; clk_div:clkdiv_inst|clock_100hz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 0.000        ; 0.043      ; 0.641      ;
4752
; 0.588 ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|count_100hz[1]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 0.000        ; 0.043      ; 0.802      ;
4753
; 0.628 ; clk_div:clkdiv_inst|count_100hz[1]  ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 0.000        ; 0.043      ; 0.842      ;
4754
; 0.639 ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|count_100hz[2]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 0.000        ; 0.043      ; 0.853      ;
4755
; 0.677 ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|clock_100hz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 0.000        ; 0.043      ; 0.891      ;
4756
; 0.687 ; clk_div:clkdiv_inst|count_100hz[2]  ; clk_div:clkdiv_inst|clock_100hz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 0.000        ; 0.043      ; 0.901      ;
4757
+-------+-------------------------------------+-------------------------------------+------------------------------------+------------------------------------+--------------+------------+------------+
4758
 
4759
 
4760
+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
4761
; Slow 1200mV 0C Model Hold: 'clk_div:clkdiv_inst|clock_100Hz'                                                                                                                                                                      ;
4762
+-------+----------------------------------------------------+-----------------------------+-------------------------------------------------------------+---------------------------------+--------------+------------+------------+
4763
; Slack ; From Node                                          ; To Node                     ; Launch Clock                                                ; Latch Clock                     ; Relationship ; Clock Skew ; Data Delay ;
4764
+-------+----------------------------------------------------+-----------------------------+-------------------------------------------------------------+---------------------------------+--------------+------------+------------+
4765
; 0.409 ; ps2kbd:ps2_kbd_inst|caps[1]                        ; ps2kbd:ps2_kbd_inst|caps[0] ; clk_div:clkdiv_inst|clock_100Hz                             ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 0.043      ; 0.623      ;
4766
; 0.608 ; ps2kbd:ps2_kbd_inst|caps[0]                        ; ps2kbd:ps2_kbd_inst|caps[1] ; clk_div:clkdiv_inst|clock_100Hz                             ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 0.043      ; 0.822      ;
4767
; 4.667 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; -2.612     ; 2.236      ;
4768
; 4.667 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; -2.612     ; 2.236      ;
4769
; 4.696 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; -2.614     ; 2.263      ;
4770
; 4.696 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; -2.614     ; 2.263      ;
4771
; 4.748 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; -2.611     ; 2.318      ;
4772
; 4.748 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; -2.611     ; 2.318      ;
4773
; 4.759 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; -2.612     ; 2.328      ;
4774
; 4.759 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; -2.612     ; 2.328      ;
4775
; 4.788 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; -2.613     ; 2.356      ;
4776
; 4.788 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; -2.613     ; 2.356      ;
4777
; 4.821 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; -2.612     ; 2.390      ;
4778
; 4.821 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; -2.612     ; 2.390      ;
4779
; 4.839 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; -2.612     ; 2.408      ;
4780
; 4.839 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; -2.612     ; 2.408      ;
4781
; 5.232 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; -2.612     ; 2.801      ;
4782
; 5.232 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; -2.612     ; 2.801      ;
4783
+-------+----------------------------------------------------+-----------------------------+-------------------------------------------------------------+---------------------------------+--------------+------------+------------+
4784
 
4785
 
4786
+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
4787
; Slow 1200mV 0C Model Hold: 'clk_div:clkdiv_inst|clock_25Mhz_int'                                                                                                                                    ;
4788
+-------+-----------------------------------+------------------------------------+-------------------------------------+-------------------------------------+--------------+------------+------------+
4789
; Slack ; From Node                         ; To Node                            ; Launch Clock                        ; Latch Clock                         ; Relationship ; Clock Skew ; Data Delay ;
4790
+-------+-----------------------------------+------------------------------------+-------------------------------------+-------------------------------------+--------------+------------+------------+
4791
; 0.426 ; clk_div:clkdiv_inst|count_1Mhz[4] ; clk_div:clkdiv_inst|count_1Mhz[4]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.039      ; 0.636      ;
4792
; 0.438 ; clk_div:clkdiv_inst|count_1Mhz[4] ; clk_div:clkdiv_inst|clock_1Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.044      ; 0.653      ;
4793
; 0.617 ; clk_div:clkdiv_inst|count_1Mhz[1] ; clk_div:clkdiv_inst|count_1Mhz[1]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.039      ; 0.827      ;
4794
; 0.626 ; clk_div:clkdiv_inst|count_1Mhz[2] ; clk_div:clkdiv_inst|count_1Mhz[2]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.039      ; 0.836      ;
4795
; 0.633 ; clk_div:clkdiv_inst|count_1Mhz[0] ; clk_div:clkdiv_inst|count_1Mhz[0]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.039      ; 0.843      ;
4796
; 0.637 ; clk_div:clkdiv_inst|count_1Mhz[3] ; clk_div:clkdiv_inst|count_1Mhz[3]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.039      ; 0.847      ;
4797
; 0.660 ; clk_div:clkdiv_inst|count_1Mhz[2] ; clk_div:clkdiv_inst|clock_1Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.044      ; 0.875      ;
4798
; 0.726 ; clk_div:clkdiv_inst|count_1Mhz[3] ; clk_div:clkdiv_inst|clock_1Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.044      ; 0.941      ;
4799
; 0.897 ; clk_div:clkdiv_inst|count_1Mhz[0] ; clk_div:clkdiv_inst|count_1Mhz[1]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.044      ; 1.112      ;
4800
; 0.899 ; clk_div:clkdiv_inst|count_1Mhz[1] ; clk_div:clkdiv_inst|count_1Mhz[2]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.044      ; 1.114      ;
4801
; 0.908 ; clk_div:clkdiv_inst|count_1Mhz[0] ; clk_div:clkdiv_inst|count_1Mhz[2]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.044      ; 1.123      ;
4802
; 0.909 ; clk_div:clkdiv_inst|count_1Mhz[2] ; clk_div:clkdiv_inst|count_1Mhz[3]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.044      ; 1.124      ;
4803
; 0.919 ; clk_div:clkdiv_inst|count_1Mhz[3] ; clk_div:clkdiv_inst|count_1Mhz[4]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.044      ; 1.134      ;
4804
; 0.920 ; clk_div:clkdiv_inst|count_1Mhz[2] ; clk_div:clkdiv_inst|count_1Mhz[4]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.044      ; 1.135      ;
4805
; 0.998 ; clk_div:clkdiv_inst|count_1Mhz[1] ; clk_div:clkdiv_inst|count_1Mhz[3]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.044      ; 1.213      ;
4806
; 1.007 ; clk_div:clkdiv_inst|count_1Mhz[0] ; clk_div:clkdiv_inst|count_1Mhz[3]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.044      ; 1.222      ;
4807
; 1.009 ; clk_div:clkdiv_inst|count_1Mhz[1] ; clk_div:clkdiv_inst|count_1Mhz[4]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.044      ; 1.224      ;
4808
; 1.018 ; clk_div:clkdiv_inst|count_1Mhz[0] ; clk_div:clkdiv_inst|count_1Mhz[4]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.044      ; 1.233      ;
4809
; 1.093 ; clk_div:clkdiv_inst|count_1Mhz[4] ; clk_div:clkdiv_inst|count_1Mhz[3]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.044      ; 1.308      ;
4810
; 1.093 ; clk_div:clkdiv_inst|count_1Mhz[4] ; clk_div:clkdiv_inst|count_1Mhz[2]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.044      ; 1.308      ;
4811
; 1.093 ; clk_div:clkdiv_inst|count_1Mhz[4] ; clk_div:clkdiv_inst|count_1Mhz[1]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.044      ; 1.308      ;
4812
; 1.093 ; clk_div:clkdiv_inst|count_1Mhz[4] ; clk_div:clkdiv_inst|count_1Mhz[0]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.044      ; 1.308      ;
4813
; 1.379 ; clk_div:clkdiv_inst|count_1Mhz[3] ; clk_div:clkdiv_inst|count_1Mhz[2]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.044      ; 1.594      ;
4814
; 1.379 ; clk_div:clkdiv_inst|count_1Mhz[3] ; clk_div:clkdiv_inst|count_1Mhz[1]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.044      ; 1.594      ;
4815
; 1.379 ; clk_div:clkdiv_inst|count_1Mhz[3] ; clk_div:clkdiv_inst|count_1Mhz[0]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.044      ; 1.594      ;
4816
+-------+-----------------------------------+------------------------------------+-------------------------------------+-------------------------------------+--------------+------------+------------+
4817
 
4818
 
4819
+------------------------------------------------------------------------------------------------------------------------------------------------------------+
4820
; Slow 1200mV 0C Model Hold: 'LCD:lcd_inst|clk_400hz_enable'                                                                                                 ;
4821
+-------+--------------------------------+------------------+-----------------------+-------------------------------+--------------+------------+------------+
4822
; Slack ; From Node                      ; To Node          ; Launch Clock          ; Latch Clock                   ; Relationship ; Clock Skew ; Data Delay ;
4823
+-------+--------------------------------+------------------+-----------------------+-------------------------------+--------------+------------+------------+
4824
; 2.100 ; LCD:lcd_inst|char_count_sig[4] ; next_char_sig[5] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -0.985     ; 1.306      ;
4825
; 2.108 ; LCD:lcd_inst|char_count_sig[4] ; next_char_sig[4] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -0.782     ; 1.517      ;
4826
; 2.139 ; LCD:lcd_inst|char_count_sig[4] ; next_char_sig[6] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -0.985     ; 1.345      ;
4827
; 2.174 ; LCD:lcd_inst|char_count_sig[4] ; next_char_sig[1] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -0.999     ; 1.366      ;
4828
; 2.237 ; LCD:lcd_inst|char_count_sig[4] ; next_char_sig[0] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -1.077     ; 1.351      ;
4829
; 2.281 ; LCD:lcd_inst|char_count_sig[1] ; next_char_sig[0] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -1.077     ; 1.395      ;
4830
; 2.375 ; LCD:lcd_inst|char_count_sig[1] ; next_char_sig[4] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -0.782     ; 1.784      ;
4831
; 2.376 ; LCD:lcd_inst|char_count_sig[4] ; next_char_sig[7] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -1.090     ; 1.477      ;
4832
; 2.415 ; LCD:lcd_inst|char_count_sig[1] ; next_char_sig[6] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -0.985     ; 1.621      ;
4833
; 2.417 ; LCD:lcd_inst|char_count_sig[3] ; next_char_sig[4] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -0.782     ; 1.826      ;
4834
; 2.440 ; LCD:lcd_inst|char_count_sig[4] ; next_char_sig[2] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -1.074     ; 1.557      ;
4835
; 2.464 ; LCD:lcd_inst|char_count_sig[4] ; next_char_sig[3] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -1.058     ; 1.597      ;
4836
; 2.491 ; LCD:lcd_inst|char_count_sig[0] ; next_char_sig[5] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -0.985     ; 1.697      ;
4837
; 2.528 ; LCD:lcd_inst|char_count_sig[1] ; next_char_sig[2] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -1.074     ; 1.645      ;
4838
; 2.564 ; LCD:lcd_inst|char_count_sig[2] ; next_char_sig[1] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -0.999     ; 1.756      ;
4839
; 2.602 ; LCD:lcd_inst|char_count_sig[0] ; next_char_sig[4] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -0.782     ; 2.011      ;
4840
; 2.673 ; LCD:lcd_inst|char_count_sig[0] ; next_char_sig[1] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -0.999     ; 1.865      ;
4841
; 2.675 ; LCD:lcd_inst|char_count_sig[3] ; next_char_sig[0] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -1.077     ; 1.789      ;
4842
; 2.779 ; LCD:lcd_inst|char_count_sig[0] ; next_char_sig[3] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -1.058     ; 1.912      ;
4843
; 2.786 ; LCD:lcd_inst|char_count_sig[3] ; next_char_sig[1] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -0.999     ; 1.978      ;
4844
; 2.805 ; LCD:lcd_inst|char_count_sig[1] ; next_char_sig[3] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -1.058     ; 1.938      ;
4845
; 2.814 ; LCD:lcd_inst|char_count_sig[3] ; next_char_sig[5] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -0.985     ; 2.020      ;
4846
; 2.869 ; LCD:lcd_inst|char_count_sig[3] ; next_char_sig[6] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -0.985     ; 2.075      ;
4847
; 2.872 ; LCD:lcd_inst|char_count_sig[0] ; next_char_sig[6] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -0.985     ; 2.078      ;
4848
; 2.884 ; LCD:lcd_inst|char_count_sig[2] ; next_char_sig[3] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -1.058     ; 2.017      ;
4849
; 2.888 ; LCD:lcd_inst|char_count_sig[3] ; next_char_sig[2] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -1.074     ; 2.005      ;
4850
; 2.939 ; LCD:lcd_inst|char_count_sig[2] ; next_char_sig[4] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -0.782     ; 2.348      ;
4851
; 2.964 ; LCD:lcd_inst|char_count_sig[1] ; next_char_sig[7] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -1.090     ; 2.065      ;
4852
; 2.985 ; LCD:lcd_inst|char_count_sig[0] ; next_char_sig[0] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -1.077     ; 2.099      ;
4853
; 2.993 ; LCD:lcd_inst|char_count_sig[1] ; next_char_sig[1] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -0.999     ; 2.185      ;
4854
; 3.042 ; LCD:lcd_inst|char_count_sig[3] ; next_char_sig[7] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -1.090     ; 2.143      ;
4855
; 3.063 ; LCD:lcd_inst|char_count_sig[0] ; next_char_sig[2] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -1.074     ; 2.180      ;
4856
; 3.080 ; LCD:lcd_inst|char_count_sig[1] ; next_char_sig[5] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -0.985     ; 2.286      ;
4857
; 3.108 ; LCD:lcd_inst|char_count_sig[2] ; next_char_sig[7] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -1.090     ; 2.209      ;
4858
; 3.109 ; LCD:lcd_inst|char_count_sig[2] ; next_char_sig[5] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -0.985     ; 2.315      ;
4859
; 3.146 ; LCD:lcd_inst|char_count_sig[0] ; next_char_sig[7] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -1.090     ; 2.247      ;
4860
; 3.186 ; LCD:lcd_inst|char_count_sig[3] ; next_char_sig[3] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -1.058     ; 2.319      ;
4861
; 3.193 ; LCD:lcd_inst|char_count_sig[2] ; next_char_sig[0] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -1.077     ; 2.307      ;
4862
; 3.307 ; LCD:lcd_inst|char_count_sig[2] ; next_char_sig[2] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -1.074     ; 2.424      ;
4863
; 3.319 ; LCD:lcd_inst|char_count_sig[2] ; next_char_sig[6] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -0.985     ; 2.525      ;
4864
; 7.334 ; lcdvram[3][4]                  ; next_char_sig[4] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -4.596     ; 2.419      ;
4865
; 7.337 ; lcdvram[11][4]                 ; next_char_sig[4] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -4.712     ; 2.306      ;
4866
; 7.577 ; lcdvram[11][6]                 ; next_char_sig[6] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -5.486     ; 1.772      ;
4867
; 7.626 ; lcdvram[15][1]                 ; next_char_sig[1] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -5.944     ; 1.363      ;
4868
; 7.668 ; lcdvram[3][6]                  ; next_char_sig[6] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -4.907     ; 2.442      ;
4869
; 7.687 ; lcdvram[10][6]                 ; next_char_sig[6] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -5.473     ; 1.895      ;
4870
; 7.692 ; lcdvram[8][4]                  ; next_char_sig[4] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -5.135     ; 2.238      ;
4871
; 7.696 ; lcdvram[3][2]                  ; next_char_sig[2] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -4.996     ; 2.381      ;
4872
; 7.696 ; lcdvram[10][4]                 ; next_char_sig[4] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -4.663     ; 2.714      ;
4873
; 7.795 ; lcdvram[8][5]                  ; next_char_sig[5] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -5.362     ; 2.114      ;
4874
; 7.797 ; lcdvram[2][0]                  ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -5.035     ; 2.443      ;
4875
; 7.819 ; lcdvram[3][5]                  ; next_char_sig[5] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -5.473     ; 2.027      ;
4876
; 7.867 ; lcdvram[9][4]                  ; next_char_sig[4] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -5.513     ; 2.035      ;
4877
; 7.879 ; lcdvram[15][4]                 ; next_char_sig[4] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -5.852     ; 1.708      ;
4878
; 7.886 ; lcdvram[5][6]                  ; next_char_sig[6] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -5.493     ; 2.074      ;
4879
; 7.906 ; lcdvram[3][1]                  ; next_char_sig[1] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -5.218     ; 2.369      ;
4880
; 7.910 ; lcdvram[13][4]                 ; next_char_sig[4] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -5.292     ; 2.299      ;
4881
; 7.979 ; lcdvram[5][2]                  ; next_char_sig[2] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -5.637     ; 2.023      ;
4882
; 7.990 ; lcdvram[29][4]                 ; next_char_sig[4] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -6.061     ; 1.610      ;
4883
; 7.998 ; lcdvram[14][1]                 ; next_char_sig[1] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -6.084     ; 1.595      ;
4884
; 7.999 ; lcdvram[2][4]                  ; next_char_sig[4] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -4.842     ; 2.838      ;
4885
; 8.013 ; lcdvram[8][6]                  ; next_char_sig[6] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -5.513     ; 2.181      ;
4886
; 8.017 ; lcdvram[11][0]                 ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -5.709     ; 1.989      ;
4887
; 8.023 ; lcdvram[0][7]                  ; next_char_sig[7] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -5.262     ; 2.442      ;
4888
; 8.026 ; lcdvram[9][1]                  ; next_char_sig[1] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -5.569     ; 2.138      ;
4889
; 8.084 ; lcdvram[5][7]                  ; next_char_sig[7] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -5.534     ; 2.231      ;
4890
; 8.119 ; lcdvram[5][5]                  ; next_char_sig[5] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -5.662     ; 2.138      ;
4891
; 8.125 ; lcdvram[2][5]                  ; next_char_sig[5] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -5.303     ; 2.503      ;
4892
; 8.139 ; lcdvram[6][1]                  ; next_char_sig[1] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -6.080     ; 1.740      ;
4893
; 8.139 ; lcdvram[12][5]                 ; next_char_sig[5] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -5.743     ; 2.077      ;
4894
; 8.167 ; lcdvram[10][0]                 ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -5.960     ; 1.888      ;
4895
; 8.180 ; lcdvram[13][6]                 ; next_char_sig[6] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -5.511     ; 2.350      ;
4896
; 8.183 ; lcdvram[4][7]                  ; next_char_sig[7] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -5.193     ; 2.671      ;
4897
; 8.192 ; lcdvram[9][5]                  ; next_char_sig[5] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -5.556     ; 2.317      ;
4898
; 8.199 ; lcdvram[10][3]                 ; next_char_sig[3] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -5.167     ; 2.713      ;
4899
; 8.220 ; lcdvram[15][5]                 ; next_char_sig[5] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -5.709     ; 2.192      ;
4900
; 8.235 ; lcdvram[29][5]                 ; next_char_sig[5] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -6.278     ; 1.638      ;
4901
; 8.237 ; lcdvram[14][0]                 ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -6.076     ; 1.842      ;
4902
; 8.237 ; lcdvram[9][6]                  ; next_char_sig[6] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -5.792     ; 2.126      ;
4903
; 8.253 ; lcdvram[5][0]                  ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -5.583     ; 2.351      ;
4904
; 8.266 ; lcdvram[6][5]                  ; next_char_sig[5] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -6.066     ; 1.881      ;
4905
; 8.272 ; lcdvram[10][1]                 ; next_char_sig[1] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -5.149     ; 2.804      ;
4906
; 8.275 ; lcdvram[1][7]                  ; next_char_sig[7] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -5.478     ; 2.478      ;
4907
; 8.276 ; lcdvram[14][3]                 ; next_char_sig[3] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -5.971     ; 1.986      ;
4908
; 8.282 ; lcdvram[7][7]                  ; next_char_sig[7] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -5.967     ; 1.996      ;
4909
; 8.287 ; lcdvram[5][4]                  ; next_char_sig[4] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -5.287     ; 2.681      ;
4910
; 8.295 ; lcdvram[7][6]                  ; next_char_sig[6] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -5.688     ; 2.288      ;
4911
; 8.304 ; lcdvram[8][3]                  ; next_char_sig[3] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -5.579     ; 2.406      ;
4912
; 8.310 ; lcdvram[6][7]                  ; next_char_sig[7] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -6.046     ; 1.945      ;
4913
; 8.319 ; lcdvram[7][1]                  ; next_char_sig[1] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -6.024     ; 1.976      ;
4914
; 8.322 ; lcdvram[5][1]                  ; next_char_sig[1] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -5.650     ; 2.353      ;
4915
; 8.323 ; lcdvram[8][0]                  ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -5.812     ; 2.192      ;
4916
; 8.328 ; lcdvram[13][0]                 ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -5.601     ; 2.408      ;
4917
; 8.328 ; lcdvram[15][3]                 ; next_char_sig[3] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -6.139     ; 1.870      ;
4918
; 8.336 ; lcdvram[11][1]                 ; next_char_sig[1] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -5.427     ; 2.590      ;
4919
; 8.348 ; lcdvram[10][2]                 ; next_char_sig[2] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -5.858     ; 2.171      ;
4920
; 8.366 ; lcdvram[23][4]                 ; next_char_sig[4] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -6.279     ; 1.768      ;
4921
; 8.368 ; lcdvram[5][3]                  ; next_char_sig[3] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -5.504     ; 2.545      ;
4922
; 8.375 ; lcdvram[3][0]                  ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -4.889     ; 3.167      ;
4923
; 8.378 ; lcdvram[11][5]                 ; next_char_sig[5] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -5.423     ; 2.636      ;
4924
+-------+--------------------------------+------------------+-----------------------+-------------------------------+--------------+------------+------------+
4925
 
4926
 
4927
+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
4928
; Slow 1200mV 0C Model Recovery: 'ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set'                                                                                                ;
4929
+--------+-----------+--------------------------------------------------+--------------+-------------------------------------------------+--------------+------------+------------+
4930
; Slack  ; From Node ; To Node                                          ; Launch Clock ; Latch Clock                                     ; Relationship ; Clock Skew ; Data Delay ;
4931
+--------+-----------+--------------------------------------------------+--------------+-------------------------------------------------+--------------+------------+------------+
4932
; -2.255 ; ps2_read  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_ready ; CLOCK_50     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set ; 1.000        ; -1.511     ; 1.743      ;
4933
+--------+-----------+--------------------------------------------------+--------------+-------------------------------------------------+--------------+------------+------------+
4934
 
4935
 
4936
+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
4937
; Slow 1200mV 0C Model Removal: 'ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set'                                                                                                ;
4938
+-------+-----------+--------------------------------------------------+--------------+-------------------------------------------------+--------------+------------+------------+
4939
; Slack ; From Node ; To Node                                          ; Launch Clock ; Latch Clock                                     ; Relationship ; Clock Skew ; Data Delay ;
4940
+-------+-----------+--------------------------------------------------+--------------+-------------------------------------------------+--------------+------------+------------+
4941
; 2.789 ; ps2_read  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_ready ; CLOCK_50     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set ; 0.000        ; -1.341     ; 1.639      ;
4942
+-------+-----------+--------------------------------------------------+--------------+-------------------------------------------------+--------------+------------+------------+
4943
 
4944
 
4945
+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
4946
; Slow 1200mV 0C Model Minimum Pulse Width: 'SW[16]'                                                                                                                                        ;
4947
+--------+--------------+----------------+------------+--------+------------+---------------------------------------------------------------------------------------------------------------+
4948
; Slack  ; Actual Width ; Required Width ; Type       ; Clock  ; Clock Edge ; Target                                                                                                        ;
4949
+--------+--------------+----------------+------------+--------+------------+---------------------------------------------------------------------------------------------------------------+
4950
; -3.000 ; 1.000        ; 4.000          ; Port Rate  ; SW[16] ; Rise       ; SW[16]                                                                                                        ;
4951
; -2.649 ; 1.000        ; 3.649          ; Min Period ; SW[16] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a0~porta_address_reg0   ;
4952
; -2.649 ; 1.000        ; 3.649          ; Min Period ; SW[16] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a0~porta_datain_reg0    ;
4953
; -2.649 ; 1.000        ; 3.649          ; Min Period ; SW[16] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a0~porta_we_reg         ;
4954
; -2.649 ; 1.000        ; 3.649          ; Min Period ; SW[16] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a4~porta_address_reg0   ;
4955
; -2.649 ; 1.000        ; 3.649          ; Min Period ; SW[16] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a4~porta_datain_reg0    ;
4956
; -2.649 ; 1.000        ; 3.649          ; Min Period ; SW[16] ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a4~porta_we_reg         ;
4957
; -2.649 ; 1.000        ; 3.649          ; Min Period ; SW[16] ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a0~porta_address_reg0 ;
4958
; -2.649 ; 1.000        ; 3.649          ; Min Period ; SW[16] ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a0~porta_datain_reg0  ;
4959
; -2.649 ; 1.000        ; 3.649          ; Min Period ; SW[16] ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a0~porta_we_reg       ;
4960
; -2.649 ; 1.000        ; 3.649          ; Min Period ; SW[16] ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a1~porta_address_reg0 ;
4961
; -2.649 ; 1.000        ; 3.649          ; Min Period ; SW[16] ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a1~porta_datain_reg0  ;
4962
; -2.649 ; 1.000        ; 3.649          ; Min Period ; SW[16] ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a1~porta_we_reg       ;
4963
; -2.649 ; 1.000        ; 3.649          ; Min Period ; SW[16] ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a2~porta_address_reg0 ;
4964
; -2.649 ; 1.000        ; 3.649          ; Min Period ; SW[16] ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a2~porta_datain_reg0  ;
4965
; -2.649 ; 1.000        ; 3.649          ; Min Period ; SW[16] ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a2~porta_we_reg       ;
4966
; -2.649 ; 1.000        ; 3.649          ; Min Period ; SW[16] ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a3~porta_address_reg0 ;
4967
; -2.649 ; 1.000        ; 3.649          ; Min Period ; SW[16] ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a3~porta_datain_reg0  ;
4968
; -2.649 ; 1.000        ; 3.649          ; Min Period ; SW[16] ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a3~porta_we_reg       ;
4969
; -2.649 ; 1.000        ; 3.649          ; Min Period ; SW[16] ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a4~porta_address_reg0 ;
4970
; -2.649 ; 1.000        ; 3.649          ; Min Period ; SW[16] ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a4~porta_datain_reg0  ;
4971
; -2.649 ; 1.000        ; 3.649          ; Min Period ; SW[16] ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a4~porta_we_reg       ;
4972
; -2.649 ; 1.000        ; 3.649          ; Min Period ; SW[16] ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a5~porta_address_reg0 ;
4973
; -2.649 ; 1.000        ; 3.649          ; Min Period ; SW[16] ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a5~porta_datain_reg0  ;
4974
; -2.649 ; 1.000        ; 3.649          ; Min Period ; SW[16] ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a5~porta_we_reg       ;
4975
; -2.649 ; 1.000        ; 3.649          ; Min Period ; SW[16] ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a6~porta_address_reg0 ;
4976
; -2.649 ; 1.000        ; 3.649          ; Min Period ; SW[16] ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a6~porta_datain_reg0  ;
4977
; -2.649 ; 1.000        ; 3.649          ; Min Period ; SW[16] ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a6~porta_we_reg       ;
4978
; -2.649 ; 1.000        ; 3.649          ; Min Period ; SW[16] ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a7~porta_address_reg0 ;
4979
; -2.649 ; 1.000        ; 3.649          ; Min Period ; SW[16] ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a7~porta_datain_reg0  ;
4980
; -2.649 ; 1.000        ; 3.649          ; Min Period ; SW[16] ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a7~porta_we_reg       ;
4981
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; LCDON_reg                                                                                                     ;
4982
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|DI_Reg[0]                                                                                      ;
4983
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|DI_Reg[1]                                                                                      ;
4984
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|DI_Reg[2]                                                                                      ;
4985
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|DI_Reg[3]                                                                                      ;
4986
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|DI_Reg[4]                                                                                      ;
4987
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|DI_Reg[5]                                                                                      ;
4988
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|DI_Reg[6]                                                                                      ;
4989
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|DI_Reg[7]                                                                                      ;
4990
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|IORQ_n                                                                                         ;
4991
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|MREQ_n                                                                                         ;
4992
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|RD_n                                                                                           ;
4993
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|ACC[0]                                                                                  ;
4994
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|ACC[1]                                                                                  ;
4995
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|ACC[2]                                                                                  ;
4996
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|ACC[3]                                                                                  ;
4997
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|ACC[4]                                                                                  ;
4998
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|ACC[5]                                                                                  ;
4999
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|ACC[6]                                                                                  ;
5000
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|ACC[7]                                                                                  ;
5001
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|ALU_Op_r[0]                                                                             ;
5002
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|ALU_Op_r[1]                                                                             ;
5003
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|ALU_Op_r[2]                                                                             ;
5004
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|ALU_Op_r[3]                                                                             ;
5005
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|A[0]                                                                                    ;
5006
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|A[10]                                                                                   ;
5007
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|A[11]                                                                                   ;
5008
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|A[12]                                                                                   ;
5009
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|A[13]                                                                                   ;
5010
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|A[14]                                                                                   ;
5011
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|A[15]                                                                                   ;
5012
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|A[1]                                                                                    ;
5013
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|A[2]                                                                                    ;
5014
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|A[3]                                                                                    ;
5015
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|A[4]                                                                                    ;
5016
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|A[5]                                                                                    ;
5017
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|A[6]                                                                                    ;
5018
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|A[7]                                                                                    ;
5019
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|A[8]                                                                                    ;
5020
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|A[9]                                                                                    ;
5021
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|Alternate                                                                               ;
5022
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|Ap[0]                                                                                   ;
5023
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|Ap[1]                                                                                   ;
5024
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|Ap[2]                                                                                   ;
5025
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|Ap[3]                                                                                   ;
5026
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|Ap[4]                                                                                   ;
5027
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|Ap[5]                                                                                   ;
5028
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|Ap[6]                                                                                   ;
5029
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|Ap[7]                                                                                   ;
5030
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|Arith16_r                                                                               ;
5031
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|BTR_r                                                                                   ;
5032
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|BusA[0]                                                                                 ;
5033
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|BusA[1]                                                                                 ;
5034
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|BusA[2]                                                                                 ;
5035
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|BusA[3]                                                                                 ;
5036
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|BusA[4]                                                                                 ;
5037
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|BusA[5]                                                                                 ;
5038
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|BusA[6]                                                                                 ;
5039
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|BusA[7]                                                                                 ;
5040
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|BusB[0]                                                                                 ;
5041
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|BusB[1]                                                                                 ;
5042
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|BusB[2]                                                                                 ;
5043
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|BusB[3]                                                                                 ;
5044
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|BusB[4]                                                                                 ;
5045
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|BusB[5]                                                                                 ;
5046
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|BusB[6]                                                                                 ;
5047
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|BusB[7]                                                                                 ;
5048
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|DO[0]                                                                                   ;
5049
; -1.285 ; 1.000        ; 2.285          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|DO[1]                                                                                   ;
5050
+--------+--------------+----------------+------------+--------+------------+---------------------------------------------------------------------------------------------------------------+
5051
 
5052
 
5053
+-----------------------------------------------------------------------------------------------------------------------+
5054
; Slow 1200mV 0C Model Minimum Pulse Width: 'CLOCK_50'                                                                  ;
5055
+--------+--------------+----------------+------------+----------+------------+-----------------------------------------+
5056
; Slack  ; Actual Width ; Required Width ; Type       ; Clock    ; Clock Edge ; Target                                  ;
5057
+--------+--------------+----------------+------------+----------+------------+-----------------------------------------+
5058
; -3.000 ; 1.000        ; 4.000          ; Port Rate  ; CLOCK_50 ; Rise       ; CLOCK_50                                ;
5059
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|LCD_EN                     ;
5060
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|LCD_ON                     ;
5061
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|LCD_RS                     ;
5062
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|char_count_sig[0]          ;
5063
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|char_count_sig[1]          ;
5064
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|char_count_sig[2]          ;
5065
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|char_count_sig[3]          ;
5066
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|char_count_sig[4]          ;
5067
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_400hz_enable           ;
5068
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[0]         ;
5069
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[10]        ;
5070
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[11]        ;
5071
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[12]        ;
5072
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[13]        ;
5073
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[14]        ;
5074
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[15]        ;
5075
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[16]        ;
5076
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[17]        ;
5077
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[18]        ;
5078
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[19]        ;
5079
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[1]         ;
5080
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[2]         ;
5081
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[3]         ;
5082
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[4]         ;
5083
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[5]         ;
5084
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[6]         ;
5085
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[7]         ;
5086
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[8]         ;
5087
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[9]         ;
5088
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|data_bus_value[0]          ;
5089
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|data_bus_value[1]          ;
5090
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|data_bus_value[2]          ;
5091
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|data_bus_value[3]          ;
5092
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|data_bus_value[4]          ;
5093
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|data_bus_value[5]          ;
5094
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|data_bus_value[6]          ;
5095
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|data_bus_value[7]          ;
5096
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|next_command.display_clear ;
5097
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|next_command.display_off   ;
5098
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|next_command.display_on    ;
5099
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|next_command.func_set      ;
5100
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|next_command.line2         ;
5101
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|next_command.mode_set      ;
5102
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|next_command.print_string  ;
5103
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|next_command.reset2        ;
5104
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|next_command.reset3        ;
5105
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|next_command.return_home   ;
5106
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|state.display_clear        ;
5107
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|state.display_off          ;
5108
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|state.display_on           ;
5109
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|state.drop_LCD_EN          ;
5110
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|state.func_set             ;
5111
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|state.hold                 ;
5112
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|state.line2                ;
5113
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|state.mode_set             ;
5114
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|state.print_string         ;
5115
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|state.reset1               ;
5116
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|state.reset2               ;
5117
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|state.reset3               ;
5118
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|state.return_home          ;
5119
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; \random:rand_temp[0]                    ;
5120
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; \random:rand_temp[10]                   ;
5121
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; \random:rand_temp[11]                   ;
5122
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; \random:rand_temp[12]                   ;
5123
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; \random:rand_temp[13]                   ;
5124
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; \random:rand_temp[14]                   ;
5125
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; \random:rand_temp[15]                   ;
5126
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; \random:rand_temp[1]                    ;
5127
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; \random:rand_temp[2]                    ;
5128
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; \random:rand_temp[3]                    ;
5129
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; \random:rand_temp[4]                    ;
5130
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; \random:rand_temp[5]                    ;
5131
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; \random:rand_temp[6]                    ;
5132
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; \random:rand_temp[7]                    ;
5133
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; \random:rand_temp[8]                    ;
5134
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; \random:rand_temp[9]                    ;
5135
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz         ;
5136
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|clock_10MHz         ;
5137
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|clock_10Mhz_int     ;
5138
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|clock_25MHz         ;
5139
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|clock_25Mhz_int     ;
5140
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|clock_357Mhz        ;
5141
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|clock_357Mhz_int    ;
5142
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|count_10Mhz[0]      ;
5143
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|count_10Mhz[1]      ;
5144
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|count_10Mhz[2]      ;
5145
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|count_357Mhz[0]     ;
5146
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|count_357Mhz[1]     ;
5147
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|count_357Mhz[2]     ;
5148
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|count_357Mhz[3]     ;
5149
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; ps2_ascii_reg1[0]                       ;
5150
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; ps2_ascii_reg1[1]                       ;
5151
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; ps2_ascii_reg1[2]                       ;
5152
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; ps2_ascii_reg1[3]                       ;
5153
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; ps2_ascii_reg1[4]                       ;
5154
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; ps2_ascii_reg1[5]                       ;
5155
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; ps2_ascii_reg1[6]                       ;
5156
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; ps2_ascii_reg1[7]                       ;
5157
; -1.285 ; 1.000        ; 2.285          ; Min Period ; CLOCK_50 ; Rise       ; ps2_read                                ;
5158
+--------+--------------+----------------+------------+----------+------------+-----------------------------------------+
5159
 
5160
 
5161
+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
5162
; Slow 1200mV 0C Model Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_25MHz'                                                                                                                                              ;
5163
+--------+--------------+----------------+------------------+---------------------------------+------------+---------------------------------------------------------------------------------------------------------------+
5164
; Slack  ; Actual Width ; Required Width ; Type             ; Clock                           ; Clock Edge ; Target                                                                                                        ;
5165
+--------+--------------+----------------+------------------+---------------------------------+------------+---------------------------------------------------------------------------------------------------------------+
5166
; -2.649 ; 1.000        ; 3.649          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a0~portb_address_reg0   ;
5167
; -2.649 ; 1.000        ; 3.649          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a4~portb_address_reg0   ;
5168
; -2.649 ; 1.000        ; 3.649          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a0                      ;
5169
; -2.649 ; 1.000        ; 3.649          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a0~porta_address_reg0   ;
5170
; -2.649 ; 1.000        ; 3.649          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a1                      ;
5171
; -2.649 ; 1.000        ; 3.649          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a10                     ;
5172
; -2.649 ; 1.000        ; 3.649          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a10~porta_address_reg0  ;
5173
; -2.649 ; 1.000        ; 3.649          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a11                     ;
5174
; -2.649 ; 1.000        ; 3.649          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a11~porta_address_reg0  ;
5175
; -2.649 ; 1.000        ; 3.649          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a12                     ;
5176
; -2.649 ; 1.000        ; 3.649          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a12~porta_address_reg0  ;
5177
; -2.649 ; 1.000        ; 3.649          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a13                     ;
5178
; -2.649 ; 1.000        ; 3.649          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a13~porta_address_reg0  ;
5179
; -2.649 ; 1.000        ; 3.649          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a14                     ;
5180
; -2.649 ; 1.000        ; 3.649          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a14~porta_address_reg0  ;
5181
; -2.649 ; 1.000        ; 3.649          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a15                     ;
5182
; -2.649 ; 1.000        ; 3.649          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a15~porta_address_reg0  ;
5183
; -2.649 ; 1.000        ; 3.649          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a1~porta_address_reg0   ;
5184
; -2.649 ; 1.000        ; 3.649          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a2                      ;
5185
; -2.649 ; 1.000        ; 3.649          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a2~porta_address_reg0   ;
5186
; -2.649 ; 1.000        ; 3.649          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a3                      ;
5187
; -2.649 ; 1.000        ; 3.649          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a3~porta_address_reg0   ;
5188
; -2.649 ; 1.000        ; 3.649          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a4                      ;
5189
; -2.649 ; 1.000        ; 3.649          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a4~porta_address_reg0   ;
5190
; -2.649 ; 1.000        ; 3.649          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a5                      ;
5191
; -2.649 ; 1.000        ; 3.649          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a5~porta_address_reg0   ;
5192
; -2.649 ; 1.000        ; 3.649          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a6                      ;
5193
; -2.649 ; 1.000        ; 3.649          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a6~porta_address_reg0   ;
5194
; -2.649 ; 1.000        ; 3.649          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a7                      ;
5195
; -2.649 ; 1.000        ; 3.649          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a7~porta_address_reg0   ;
5196
; -2.649 ; 1.000        ; 3.649          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a8                      ;
5197
; -2.649 ; 1.000        ; 3.649          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a8~porta_address_reg0   ;
5198
; -2.649 ; 1.000        ; 3.649          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a9                      ;
5199
; -2.649 ; 1.000        ; 3.649          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a9~porta_address_reg0   ;
5200
; -2.649 ; 1.000        ; 3.649          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a0~portb_address_reg0 ;
5201
; -2.649 ; 1.000        ; 3.649          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a1~portb_address_reg0 ;
5202
; -2.649 ; 1.000        ; 3.649          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a2~portb_address_reg0 ;
5203
; -2.649 ; 1.000        ; 3.649          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a3~portb_address_reg0 ;
5204
; -2.649 ; 1.000        ; 3.649          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a4~portb_address_reg0 ;
5205
; -2.649 ; 1.000        ; 3.649          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a5~portb_address_reg0 ;
5206
; -2.649 ; 1.000        ; 3.649          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a6~portb_address_reg0 ;
5207
; -2.649 ; 1.000        ; 3.649          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a7~portb_address_reg0 ;
5208
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|address_reg_a[0]                  ;
5209
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|out_address_reg_a[0]              ;
5210
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[0]                                                           ;
5211
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[1]                                                           ;
5212
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[2]                                                           ;
5213
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[3]                                                           ;
5214
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[0]                                                            ;
5215
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[1]                                                            ;
5216
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[2]                                                            ;
5217
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[3]                                                            ;
5218
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[4]                                                            ;
5219
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[5]                                                            ;
5220
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[6]                                                            ;
5221
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[7]                                                            ;
5222
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[8]                                                            ;
5223
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[9]                                                            ;
5224
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|horiz_sync                                                            ;
5225
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|horiz_sync_out                                                        ;
5226
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[0]                                                       ;
5227
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[1]                                                       ;
5228
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[2]                                                       ;
5229
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[3]                                                       ;
5230
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[4]                                                       ;
5231
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[5]                                                       ;
5232
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[6]                                                       ;
5233
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[7]                                                       ;
5234
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[8]                                                       ;
5235
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[9]                                                       ;
5236
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[0]                                                          ;
5237
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[1]                                                          ;
5238
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[2]                                                          ;
5239
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[3]                                                          ;
5240
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[4]                                                          ;
5241
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[5]                                                          ;
5242
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[6]                                                          ;
5243
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[7]                                                          ;
5244
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[8]                                                          ;
5245
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[0]                                                            ;
5246
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[1]                                                            ;
5247
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[2]                                                            ;
5248
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[3]                                                            ;
5249
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[4]                                                            ;
5250
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[5]                                                            ;
5251
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[6]                                                            ;
5252
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[7]                                                            ;
5253
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[8]                                                            ;
5254
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[9]                                                            ;
5255
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|vert_sync                                                             ;
5256
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|vert_sync_out                                                         ;
5257
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|video_on_h                                                            ;
5258
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|video_on_v                                                            ;
5259
; 0.215  ; 0.433        ; 0.218          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|address_reg_a[0]                  ;
5260
; 0.215  ; 0.433        ; 0.218          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|out_address_reg_a[0]              ;
5261
; 0.215  ; 0.433        ; 0.218          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[0]                                                          ;
5262
; 0.215  ; 0.433        ; 0.218          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[2]                                                          ;
5263
; 0.217  ; 0.435        ; 0.218          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[0]                                                           ;
5264
; 0.217  ; 0.435        ; 0.218          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[1]                                                           ;
5265
; 0.217  ; 0.435        ; 0.218          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[2]                                                           ;
5266
+--------+--------------+----------------+------------------+---------------------------------+------------+---------------------------------------------------------------------------------------------------------------+
5267
 
5268
 
5269
+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
5270
; Slow 1200mV 0C Model Minimum Pulse Width: 'ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered'                                                                                             ;
5271
+--------+--------------+----------------+------------------+-------------------------------------------------------------+------------+--------------------------------------------------------------+
5272
; Slack  ; Actual Width ; Required Width ; Type             ; Clock                                                       ; Clock Edge ; Target                                                       ;
5273
+--------+--------------+----------------+------------------+-------------------------------------------------------------+------------+--------------------------------------------------------------+
5274
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]               ;
5275
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]               ;
5276
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]               ;
5277
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]               ;
5278
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR              ;
5279
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]             ;
5280
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]             ;
5281
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]             ;
5282
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]             ;
5283
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]             ;
5284
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]             ;
5285
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]             ;
5286
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]             ;
5287
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]             ;
5288
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set              ;
5289
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0]           ;
5290
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1]           ;
5291
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2]           ;
5292
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3]           ;
5293
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4]           ;
5294
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5]           ;
5295
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6]           ;
5296
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7]           ;
5297
; 0.226  ; 0.444        ; 0.218          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2]           ;
5298
; 0.227  ; 0.445        ; 0.218          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]               ;
5299
; 0.227  ; 0.445        ; 0.218          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]               ;
5300
; 0.227  ; 0.445        ; 0.218          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]               ;
5301
; 0.227  ; 0.445        ; 0.218          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]               ;
5302
; 0.227  ; 0.445        ; 0.218          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR              ;
5303
; 0.227  ; 0.445        ; 0.218          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]             ;
5304
; 0.227  ; 0.445        ; 0.218          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]             ;
5305
; 0.227  ; 0.445        ; 0.218          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]             ;
5306
; 0.227  ; 0.445        ; 0.218          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]             ;
5307
; 0.227  ; 0.445        ; 0.218          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]             ;
5308
; 0.227  ; 0.445        ; 0.218          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]             ;
5309
; 0.227  ; 0.445        ; 0.218          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]             ;
5310
; 0.227  ; 0.445        ; 0.218          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]             ;
5311
; 0.227  ; 0.445        ; 0.218          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]             ;
5312
; 0.227  ; 0.445        ; 0.218          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set              ;
5313
; 0.227  ; 0.445        ; 0.218          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0]           ;
5314
; 0.227  ; 0.445        ; 0.218          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1]           ;
5315
; 0.227  ; 0.445        ; 0.218          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3]           ;
5316
; 0.227  ; 0.445        ; 0.218          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4]           ;
5317
; 0.227  ; 0.445        ; 0.218          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5]           ;
5318
; 0.227  ; 0.445        ; 0.218          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6]           ;
5319
; 0.227  ; 0.445        ; 0.218          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7]           ;
5320
; 0.366  ; 0.552        ; 0.186          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5]           ;
5321
; 0.367  ; 0.553        ; 0.186          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]               ;
5322
; 0.367  ; 0.553        ; 0.186          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]               ;
5323
; 0.367  ; 0.553        ; 0.186          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]               ;
5324
; 0.367  ; 0.553        ; 0.186          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]               ;
5325
; 0.367  ; 0.553        ; 0.186          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR              ;
5326
; 0.367  ; 0.553        ; 0.186          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]             ;
5327
; 0.367  ; 0.553        ; 0.186          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]             ;
5328
; 0.367  ; 0.553        ; 0.186          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]             ;
5329
; 0.367  ; 0.553        ; 0.186          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]             ;
5330
; 0.367  ; 0.553        ; 0.186          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]             ;
5331
; 0.367  ; 0.553        ; 0.186          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]             ;
5332
; 0.367  ; 0.553        ; 0.186          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]             ;
5333
; 0.367  ; 0.553        ; 0.186          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]             ;
5334
; 0.367  ; 0.553        ; 0.186          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]             ;
5335
; 0.367  ; 0.553        ; 0.186          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set              ;
5336
; 0.367  ; 0.553        ; 0.186          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0]           ;
5337
; 0.367  ; 0.553        ; 0.186          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1]           ;
5338
; 0.367  ; 0.553        ; 0.186          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2]           ;
5339
; 0.367  ; 0.553        ; 0.186          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3]           ;
5340
; 0.367  ; 0.553        ; 0.186          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4]           ;
5341
; 0.367  ; 0.553        ; 0.186          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6]           ;
5342
; 0.367  ; 0.553        ; 0.186          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7]           ;
5343
; 0.484  ; 0.484        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|scan_code[2]|clk                       ;
5344
; 0.485  ; 0.485        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|INCNT[0]|clk                           ;
5345
; 0.485  ; 0.485        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|INCNT[1]|clk                           ;
5346
; 0.485  ; 0.485        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|INCNT[2]|clk                           ;
5347
; 0.485  ; 0.485        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|INCNT[3]|clk                           ;
5348
; 0.485  ; 0.485        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|READ_CHAR|clk                          ;
5349
; 0.485  ; 0.485        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|SHIFTIN[0]|clk                         ;
5350
; 0.485  ; 0.485        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|SHIFTIN[1]|clk                         ;
5351
; 0.485  ; 0.485        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|SHIFTIN[2]|clk                         ;
5352
; 0.485  ; 0.485        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|SHIFTIN[3]|clk                         ;
5353
; 0.485  ; 0.485        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|SHIFTIN[4]|clk                         ;
5354
; 0.485  ; 0.485        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|SHIFTIN[5]|clk                         ;
5355
; 0.485  ; 0.485        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|SHIFTIN[6]|clk                         ;
5356
; 0.485  ; 0.485        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|SHIFTIN[7]|clk                         ;
5357
; 0.485  ; 0.485        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|SHIFTIN[8]|clk                         ;
5358
; 0.485  ; 0.485        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|ready_set|clk                          ;
5359
; 0.485  ; 0.485        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|scan_code[0]|clk                       ;
5360
; 0.485  ; 0.485        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|scan_code[1]|clk                       ;
5361
; 0.485  ; 0.485        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|scan_code[3]|clk                       ;
5362
; 0.485  ; 0.485        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|scan_code[4]|clk                       ;
5363
; 0.485  ; 0.485        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|scan_code[5]|clk                       ;
5364
; 0.485  ; 0.485        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|scan_code[6]|clk                       ;
5365
; 0.485  ; 0.485        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|scan_code[7]|clk                       ;
5366
; 0.490  ; 0.490        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|keyboard_clk_filtered~clkctrl|inclk[0] ;
5367
; 0.490  ; 0.490        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|keyboard_clk_filtered~clkctrl|outclk   ;
5368
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|keyboard_clk_filtered|q                ;
5369
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|keyboard_clk_filtered|q                ;
5370
; 0.510  ; 0.510        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|keyboard_clk_filtered~clkctrl|inclk[0] ;
5371
; 0.510  ; 0.510        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|keyboard_clk_filtered~clkctrl|outclk   ;
5372
; 0.514  ; 0.514        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|scan_code[5]|clk                       ;
5373
; 0.515  ; 0.515        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|INCNT[0]|clk                           ;
5374
+--------+--------------+----------------+------------------+-------------------------------------------------------------+------------+--------------------------------------------------------------+
5375
 
5376
 
5377
+--------------------------------------------------------------------------------------------------------------------------------------+
5378
; Slow 1200mV 0C Model Minimum Pulse Width: 'LCD:lcd_inst|clk_400hz_enable'                                                            ;
5379
+--------+--------------+----------------+------------------+-------------------------------+------------+-----------------------------+
5380
; Slack  ; Actual Width ; Required Width ; Type             ; Clock                         ; Clock Edge ; Target                      ;
5381
+--------+--------------+----------------+------------------+-------------------------------+------------+-----------------------------+
5382
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[0]            ;
5383
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[1]            ;
5384
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[2]            ;
5385
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[3]            ;
5386
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[4]            ;
5387
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[5]            ;
5388
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[6]            ;
5389
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[7]            ;
5390
; 0.203  ; 0.421        ; 0.218          ; High Pulse Width ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[4]            ;
5391
; 0.225  ; 0.443        ; 0.218          ; High Pulse Width ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[7]            ;
5392
; 0.227  ; 0.445        ; 0.218          ; High Pulse Width ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[2]            ;
5393
; 0.244  ; 0.462        ; 0.218          ; High Pulse Width ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[1]            ;
5394
; 0.244  ; 0.462        ; 0.218          ; High Pulse Width ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[3]            ;
5395
; 0.244  ; 0.462        ; 0.218          ; High Pulse Width ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[5]            ;
5396
; 0.245  ; 0.463        ; 0.218          ; High Pulse Width ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[0]            ;
5397
; 0.246  ; 0.464        ; 0.218          ; High Pulse Width ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[6]            ;
5398
; 0.348  ; 0.534        ; 0.186          ; Low Pulse Width  ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[6]            ;
5399
; 0.349  ; 0.535        ; 0.186          ; Low Pulse Width  ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[0]            ;
5400
; 0.349  ; 0.535        ; 0.186          ; Low Pulse Width  ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[1]            ;
5401
; 0.350  ; 0.536        ; 0.186          ; Low Pulse Width  ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[3]            ;
5402
; 0.350  ; 0.536        ; 0.186          ; Low Pulse Width  ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[5]            ;
5403
; 0.366  ; 0.552        ; 0.186          ; Low Pulse Width  ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[2]            ;
5404
; 0.368  ; 0.554        ; 0.186          ; Low Pulse Width  ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[7]            ;
5405
; 0.390  ; 0.576        ; 0.186          ; Low Pulse Width  ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[4]            ;
5406
; 0.461  ; 0.461        ; 0.000          ; High Pulse Width ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[4]|clk        ;
5407
; 0.483  ; 0.483        ; 0.000          ; High Pulse Width ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[7]|clk        ;
5408
; 0.485  ; 0.485        ; 0.000          ; High Pulse Width ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[2]|clk        ;
5409
; 0.496  ; 0.496        ; 0.000          ; Low Pulse Width  ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[6]|clk        ;
5410
; 0.497  ; 0.497        ; 0.000          ; Low Pulse Width  ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[0]|clk        ;
5411
; 0.497  ; 0.497        ; 0.000          ; Low Pulse Width  ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[1]|clk        ;
5412
; 0.498  ; 0.498        ; 0.000          ; Low Pulse Width  ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[3]|clk        ;
5413
; 0.498  ; 0.498        ; 0.000          ; Low Pulse Width  ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[5]|clk        ;
5414
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; lcd_inst|clk_400hz_enable|q ;
5415
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; lcd_inst|clk_400hz_enable|q ;
5416
; 0.502  ; 0.502        ; 0.000          ; High Pulse Width ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[1]|clk        ;
5417
; 0.502  ; 0.502        ; 0.000          ; High Pulse Width ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[3]|clk        ;
5418
; 0.502  ; 0.502        ; 0.000          ; High Pulse Width ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[5]|clk        ;
5419
; 0.503  ; 0.503        ; 0.000          ; High Pulse Width ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[0]|clk        ;
5420
; 0.504  ; 0.504        ; 0.000          ; High Pulse Width ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[6]|clk        ;
5421
; 0.514  ; 0.514        ; 0.000          ; Low Pulse Width  ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[2]|clk        ;
5422
; 0.516  ; 0.516        ; 0.000          ; Low Pulse Width  ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[7]|clk        ;
5423
; 0.538  ; 0.538        ; 0.000          ; Low Pulse Width  ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[4]|clk        ;
5424
+--------+--------------+----------------+------------------+-------------------------------+------------+-----------------------------+
5425
 
5426
 
5427
+---------------------------------------------------------------------------------------------------------------------------------------------------+
5428
; Slow 1200mV 0C Model Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_25Mhz_int'                                                                   ;
5429
+--------+--------------+----------------+------------------+-------------------------------------+------------+------------------------------------+
5430
; Slack  ; Actual Width ; Required Width ; Type             ; Clock                               ; Clock Edge ; Target                             ;
5431
+--------+--------------+----------------+------------------+-------------------------------------+------------+------------------------------------+
5432
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|clock_1Mhz_int ;
5433
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[0]  ;
5434
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[1]  ;
5435
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[2]  ;
5436
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[3]  ;
5437
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[4]  ;
5438
; 0.290  ; 0.476        ; 0.186          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|clock_1Mhz_int ;
5439
; 0.290  ; 0.476        ; 0.186          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[0]  ;
5440
; 0.290  ; 0.476        ; 0.186          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[1]  ;
5441
; 0.290  ; 0.476        ; 0.186          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[2]  ;
5442
; 0.290  ; 0.476        ; 0.186          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[3]  ;
5443
; 0.290  ; 0.476        ; 0.186          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[4]  ;
5444
; 0.306  ; 0.524        ; 0.218          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|clock_1Mhz_int ;
5445
; 0.306  ; 0.524        ; 0.218          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[0]  ;
5446
; 0.306  ; 0.524        ; 0.218          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[1]  ;
5447
; 0.306  ; 0.524        ; 0.218          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[2]  ;
5448
; 0.306  ; 0.524        ; 0.218          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[3]  ;
5449
; 0.306  ; 0.524        ; 0.218          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[4]  ;
5450
; 0.436  ; 0.436        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|clock_1Mhz_int|clk     ;
5451
; 0.436  ; 0.436        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|count_1Mhz[0]|clk      ;
5452
; 0.436  ; 0.436        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|count_1Mhz[1]|clk      ;
5453
; 0.436  ; 0.436        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|count_1Mhz[2]|clk      ;
5454
; 0.436  ; 0.436        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|count_1Mhz[3]|clk      ;
5455
; 0.436  ; 0.436        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|count_1Mhz[4]|clk      ;
5456
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|clock_25Mhz_int|q      ;
5457
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|clock_25Mhz_int|q      ;
5458
; 0.562  ; 0.562        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|clock_1Mhz_int|clk     ;
5459
; 0.562  ; 0.562        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|count_1Mhz[0]|clk      ;
5460
; 0.562  ; 0.562        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|count_1Mhz[1]|clk      ;
5461
; 0.562  ; 0.562        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|count_1Mhz[2]|clk      ;
5462
; 0.562  ; 0.562        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|count_1Mhz[3]|clk      ;
5463
; 0.562  ; 0.562        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|count_1Mhz[4]|clk      ;
5464
+--------+--------------+----------------+------------------+-------------------------------------+------------+------------------------------------+
5465
 
5466
 
5467
+-----------------------------------------------------------------------------------------------------------------------------------------------------+
5468
; Slow 1200mV 0C Model Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_100Khz_int'                                                                    ;
5469
+--------+--------------+----------------+------------------+--------------------------------------+------------+-------------------------------------+
5470
; Slack  ; Actual Width ; Required Width ; Type             ; Clock                                ; Clock Edge ; Target                              ;
5471
+--------+--------------+----------------+------------------+--------------------------------------+------------+-------------------------------------+
5472
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clk_div:clkdiv_inst|clock_10Khz_int ;
5473
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clk_div:clkdiv_inst|count_10Khz[0]  ;
5474
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clk_div:clkdiv_inst|count_10Khz[1]  ;
5475
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clk_div:clkdiv_inst|count_10Khz[2]  ;
5476
; 0.226  ; 0.444        ; 0.218          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clk_div:clkdiv_inst|clock_10Khz_int ;
5477
; 0.226  ; 0.444        ; 0.218          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clk_div:clkdiv_inst|count_10Khz[0]  ;
5478
; 0.226  ; 0.444        ; 0.218          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clk_div:clkdiv_inst|count_10Khz[1]  ;
5479
; 0.226  ; 0.444        ; 0.218          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clk_div:clkdiv_inst|count_10Khz[2]  ;
5480
; 0.366  ; 0.552        ; 0.186          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clk_div:clkdiv_inst|clock_10Khz_int ;
5481
; 0.366  ; 0.552        ; 0.186          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clk_div:clkdiv_inst|count_10Khz[0]  ;
5482
; 0.366  ; 0.552        ; 0.186          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clk_div:clkdiv_inst|count_10Khz[1]  ;
5483
; 0.366  ; 0.552        ; 0.186          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clk_div:clkdiv_inst|count_10Khz[2]  ;
5484
; 0.484  ; 0.484        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clkdiv_inst|clock_10Khz_int|clk     ;
5485
; 0.484  ; 0.484        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clkdiv_inst|count_10Khz[0]|clk      ;
5486
; 0.484  ; 0.484        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clkdiv_inst|count_10Khz[1]|clk      ;
5487
; 0.484  ; 0.484        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clkdiv_inst|count_10Khz[2]|clk      ;
5488
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clkdiv_inst|clock_100Khz_int|q      ;
5489
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clkdiv_inst|clock_100Khz_int|q      ;
5490
; 0.514  ; 0.514        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clkdiv_inst|clock_10Khz_int|clk     ;
5491
; 0.514  ; 0.514        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clkdiv_inst|count_10Khz[0]|clk      ;
5492
; 0.514  ; 0.514        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clkdiv_inst|count_10Khz[1]|clk      ;
5493
; 0.514  ; 0.514        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clkdiv_inst|count_10Khz[2]|clk      ;
5494
+--------+--------------+----------------+------------------+--------------------------------------+------------+-------------------------------------+
5495
 
5496
 
5497
+---------------------------------------------------------------------------------------------------------------------------------------------------+
5498
; Slow 1200mV 0C Model Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_10Khz_int'                                                                   ;
5499
+--------+--------------+----------------+------------------+-------------------------------------+------------+------------------------------------+
5500
; Slack  ; Actual Width ; Required Width ; Type             ; Clock                               ; Clock Edge ; Target                             ;
5501
+--------+--------------+----------------+------------------+-------------------------------------+------------+------------------------------------+
5502
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clk_div:clkdiv_inst|clock_1Khz_int ;
5503
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clk_div:clkdiv_inst|count_1Khz[0]  ;
5504
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clk_div:clkdiv_inst|count_1Khz[1]  ;
5505
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clk_div:clkdiv_inst|count_1Khz[2]  ;
5506
; 0.285  ; 0.471        ; 0.186          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clk_div:clkdiv_inst|clock_1Khz_int ;
5507
; 0.285  ; 0.471        ; 0.186          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clk_div:clkdiv_inst|count_1Khz[0]  ;
5508
; 0.285  ; 0.471        ; 0.186          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clk_div:clkdiv_inst|count_1Khz[1]  ;
5509
; 0.285  ; 0.471        ; 0.186          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clk_div:clkdiv_inst|count_1Khz[2]  ;
5510
; 0.311  ; 0.529        ; 0.218          ; High Pulse Width ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clk_div:clkdiv_inst|clock_1Khz_int ;
5511
; 0.311  ; 0.529        ; 0.218          ; High Pulse Width ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clk_div:clkdiv_inst|count_1Khz[0]  ;
5512
; 0.311  ; 0.529        ; 0.218          ; High Pulse Width ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clk_div:clkdiv_inst|count_1Khz[1]  ;
5513
; 0.311  ; 0.529        ; 0.218          ; High Pulse Width ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clk_div:clkdiv_inst|count_1Khz[2]  ;
5514
; 0.431  ; 0.431        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clkdiv_inst|clock_1Khz_int|clk     ;
5515
; 0.431  ; 0.431        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clkdiv_inst|count_1Khz[0]|clk      ;
5516
; 0.431  ; 0.431        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clkdiv_inst|count_1Khz[1]|clk      ;
5517
; 0.431  ; 0.431        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clkdiv_inst|count_1Khz[2]|clk      ;
5518
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clkdiv_inst|clock_10Khz_int|q      ;
5519
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clkdiv_inst|clock_10Khz_int|q      ;
5520
; 0.567  ; 0.567        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clkdiv_inst|clock_1Khz_int|clk     ;
5521
; 0.567  ; 0.567        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clkdiv_inst|count_1Khz[0]|clk      ;
5522
; 0.567  ; 0.567        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clkdiv_inst|count_1Khz[1]|clk      ;
5523
; 0.567  ; 0.567        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clkdiv_inst|count_1Khz[2]|clk      ;
5524
+--------+--------------+----------------+------------------+-------------------------------------+------------+------------------------------------+
5525
 
5526
 
5527
+---------------------------------------------------------------------------------------------------------------------------------------------------+
5528
; Slow 1200mV 0C Model Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_1Khz_int'                                                                    ;
5529
+--------+--------------+----------------+------------------+------------------------------------+------------+-------------------------------------+
5530
; Slack  ; Actual Width ; Required Width ; Type             ; Clock                              ; Clock Edge ; Target                              ;
5531
+--------+--------------+----------------+------------------+------------------------------------+------------+-------------------------------------+
5532
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clk_div:clkdiv_inst|clock_100hz_int ;
5533
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clk_div:clkdiv_inst|count_100hz[0]  ;
5534
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clk_div:clkdiv_inst|count_100hz[1]  ;
5535
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clk_div:clkdiv_inst|count_100hz[2]  ;
5536
; 0.249  ; 0.467        ; 0.218          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clk_div:clkdiv_inst|clock_100hz_int ;
5537
; 0.249  ; 0.467        ; 0.218          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clk_div:clkdiv_inst|count_100hz[0]  ;
5538
; 0.249  ; 0.467        ; 0.218          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clk_div:clkdiv_inst|count_100hz[1]  ;
5539
; 0.249  ; 0.467        ; 0.218          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clk_div:clkdiv_inst|count_100hz[2]  ;
5540
; 0.345  ; 0.531        ; 0.186          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clk_div:clkdiv_inst|clock_100hz_int ;
5541
; 0.345  ; 0.531        ; 0.186          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clk_div:clkdiv_inst|count_100hz[0]  ;
5542
; 0.345  ; 0.531        ; 0.186          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clk_div:clkdiv_inst|count_100hz[1]  ;
5543
; 0.345  ; 0.531        ; 0.186          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clk_div:clkdiv_inst|count_100hz[2]  ;
5544
; 0.493  ; 0.493        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clkdiv_inst|clock_100hz_int|clk     ;
5545
; 0.493  ; 0.493        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clkdiv_inst|count_100hz[0]|clk      ;
5546
; 0.493  ; 0.493        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clkdiv_inst|count_100hz[1]|clk      ;
5547
; 0.493  ; 0.493        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clkdiv_inst|count_100hz[2]|clk      ;
5548
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clkdiv_inst|clock_1Khz_int|q        ;
5549
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clkdiv_inst|clock_1Khz_int|q        ;
5550
; 0.507  ; 0.507        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clkdiv_inst|clock_100hz_int|clk     ;
5551
; 0.507  ; 0.507        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clkdiv_inst|count_100hz[0]|clk      ;
5552
; 0.507  ; 0.507        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clkdiv_inst|count_100hz[1]|clk      ;
5553
; 0.507  ; 0.507        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clkdiv_inst|count_100hz[2]|clk      ;
5554
+--------+--------------+----------------+------------------+------------------------------------+------------+-------------------------------------+
5555
 
5556
 
5557
+----------------------------------------------------------------------------------------------------------------------------------------------------+
5558
; Slow 1200mV 0C Model Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_1Mhz_int'                                                                     ;
5559
+--------+--------------+----------------+------------------+------------------------------------+------------+--------------------------------------+
5560
; Slack  ; Actual Width ; Required Width ; Type             ; Clock                              ; Clock Edge ; Target                               ;
5561
+--------+--------------+----------------+------------------+------------------------------------+------------+--------------------------------------+
5562
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clk_div:clkdiv_inst|clock_100Khz_int ;
5563
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_100Khz[0]  ;
5564
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_100Khz[1]  ;
5565
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_100Khz[2]  ;
5566
; 0.258  ; 0.476        ; 0.218          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clk_div:clkdiv_inst|clock_100Khz_int ;
5567
; 0.258  ; 0.476        ; 0.218          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_100Khz[0]  ;
5568
; 0.258  ; 0.476        ; 0.218          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_100Khz[1]  ;
5569
; 0.258  ; 0.476        ; 0.218          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_100Khz[2]  ;
5570
; 0.336  ; 0.522        ; 0.186          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clk_div:clkdiv_inst|clock_100Khz_int ;
5571
; 0.336  ; 0.522        ; 0.186          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_100Khz[0]  ;
5572
; 0.336  ; 0.522        ; 0.186          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_100Khz[1]  ;
5573
; 0.336  ; 0.522        ; 0.186          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_100Khz[2]  ;
5574
; 0.484  ; 0.484        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clkdiv_inst|clock_100Khz_int|clk     ;
5575
; 0.484  ; 0.484        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clkdiv_inst|count_100Khz[0]|clk      ;
5576
; 0.484  ; 0.484        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clkdiv_inst|count_100Khz[1]|clk      ;
5577
; 0.484  ; 0.484        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clkdiv_inst|count_100Khz[2]|clk      ;
5578
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clkdiv_inst|clock_1Mhz_int|q         ;
5579
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clkdiv_inst|clock_1Mhz_int|q         ;
5580
; 0.516  ; 0.516        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clkdiv_inst|clock_100Khz_int|clk     ;
5581
; 0.516  ; 0.516        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clkdiv_inst|count_100Khz[0]|clk      ;
5582
; 0.516  ; 0.516        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clkdiv_inst|count_100Khz[1]|clk      ;
5583
; 0.516  ; 0.516        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clkdiv_inst|count_100Khz[2]|clk      ;
5584
+--------+--------------+----------------+------------------+------------------------------------+------------+--------------------------------------+
5585
 
5586
 
5587
+----------------------------------------------------------------------------------------------------------------------------------------+
5588
; Slow 1200mV 0C Model Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_100Hz'                                                            ;
5589
+--------+--------------+----------------+------------------+---------------------------------+------------+-----------------------------+
5590
; Slack  ; Actual Width ; Required Width ; Type             ; Clock                           ; Clock Edge ; Target                      ;
5591
+--------+--------------+----------------+------------------+---------------------------------+------------+-----------------------------+
5592
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; ps2kbd:ps2_kbd_inst|caps[0] ;
5593
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; ps2kbd:ps2_kbd_inst|caps[1] ;
5594
; 0.243  ; 0.461        ; 0.218          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; ps2kbd:ps2_kbd_inst|caps[0] ;
5595
; 0.243  ; 0.461        ; 0.218          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; ps2kbd:ps2_kbd_inst|caps[1] ;
5596
; 0.351  ; 0.537        ; 0.186          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; ps2kbd:ps2_kbd_inst|caps[0] ;
5597
; 0.351  ; 0.537        ; 0.186          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; ps2kbd:ps2_kbd_inst|caps[1] ;
5598
; 0.499  ; 0.499        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; ps2_kbd_inst|caps[0]|clk    ;
5599
; 0.499  ; 0.499        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; ps2_kbd_inst|caps[1]|clk    ;
5600
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; clkdiv_inst|clock_100Hz|q   ;
5601
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; clkdiv_inst|clock_100Hz|q   ;
5602
; 0.501  ; 0.501        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; ps2_kbd_inst|caps[0]|clk    ;
5603
; 0.501  ; 0.501        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; ps2_kbd_inst|caps[1]|clk    ;
5604
+--------+--------------+----------------+------------------+---------------------------------+------------+-----------------------------+
5605
 
5606
 
5607
+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
5608
; Slow 1200mV 0C Model Minimum Pulse Width: 'ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set'                                                                                 ;
5609
+--------+--------------+----------------+------------------+-------------------------------------------------+------------+--------------------------------------------------+
5610
; Slack  ; Actual Width ; Required Width ; Type             ; Clock                                           ; Clock Edge ; Target                                           ;
5611
+--------+--------------+----------------+------------------+-------------------------------------------------+------------+--------------------------------------------------+
5612
; -1.285 ; 1.000        ; 2.285          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_ready ;
5613
; 0.254  ; 0.472        ; 0.218          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_ready ;
5614
; 0.340  ; 0.526        ; 0.186          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_ready ;
5615
; 0.488  ; 0.488        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set ; Rise       ; ps2_kbd_inst|kbd_inst|scan_ready|clk             ;
5616
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set ; Rise       ; ps2_kbd_inst|kbd_inst|ready_set|q                ;
5617
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set ; Rise       ; ps2_kbd_inst|kbd_inst|ready_set|q                ;
5618
; 0.512  ; 0.512        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set ; Rise       ; ps2_kbd_inst|kbd_inst|scan_ready|clk             ;
5619
+--------+--------------+----------------+------------------+-------------------------------------------------+------------+--------------------------------------------------+
5620
 
5621
 
5622
+-----------------------------------------------------------------------------------------------------------------------+
5623
; Slow 1200mV 0C Model Minimum Pulse Width: 'T80se:z80_inst|MREQ_n'                                                     ;
5624
+--------+--------------+----------------+------------------+-----------------------+------------+----------------------+
5625
; Slack  ; Actual Width ; Required Width ; Type             ; Clock                 ; Clock Edge ; Target               ;
5626
+--------+--------------+----------------+------------------+-----------------------+------------+----------------------+
5627
; -0.004 ; -0.004       ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[15][5]       ;
5628
; -0.002 ; -0.002       ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[4][0]        ;
5629
; -0.002 ; -0.002       ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[4][2]        ;
5630
; -0.002 ; -0.002       ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[4][4]        ;
5631
; -0.001 ; -0.001       ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[4][3]        ;
5632
; -0.001 ; -0.001       ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[4][6]        ;
5633
; 0.003  ; 0.003        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[10][0]       ;
5634
; 0.009  ; 0.009        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[4][3]|dataa  ;
5635
; 0.012  ; 0.012        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[4][0]|datac  ;
5636
; 0.012  ; 0.012        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[4][2]|datac  ;
5637
; 0.012  ; 0.012        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[4][4]|datac  ;
5638
; 0.013  ; 0.013        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[4][6]|datac  ;
5639
; 0.016  ; 0.016        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[15][6]       ;
5640
; 0.017  ; 0.017        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[10][0]|datac ;
5641
; 0.020  ; 0.020        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[0][5]        ;
5642
; 0.027  ; 0.027        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[15][6]|datac ;
5643
; 0.028  ; 0.028        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[8][7]        ;
5644
; 0.030  ; 0.030        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[10][4]       ;
5645
; 0.030  ; 0.030        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[15][5]|datad ;
5646
; 0.031  ; 0.031        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[4][1]        ;
5647
; 0.031  ; 0.031        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[4][5]        ;
5648
; 0.032  ; 0.032        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[10][3]       ;
5649
; 0.035  ; 0.035        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[15][0]       ;
5650
; 0.035  ; 0.035        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[15][7]       ;
5651
; 0.040  ; 0.040        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[0][5]|datab  ;
5652
; 0.040  ; 0.040        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[0][6]        ;
5653
; 0.042  ; 0.042        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[13][4]       ;
5654
; 0.045  ; 0.045        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[15][0]|dataa ;
5655
; 0.045  ; 0.045        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[15][7]|dataa ;
5656
; 0.045  ; 0.045        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[4][1]|datac  ;
5657
; 0.045  ; 0.045        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[4][5]|datac  ;
5658
; 0.047  ; 0.047        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[15][1]       ;
5659
; 0.049  ; 0.049        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[13][7]       ;
5660
; 0.052  ; 0.052        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[10][1]       ;
5661
; 0.053  ; 0.053        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[12][0]       ;
5662
; 0.054  ; 0.054        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[7][2]        ;
5663
; 0.054  ; 0.054        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[7][4]        ;
5664
; 0.054  ; 0.054        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[7][6]        ;
5665
; 0.054  ; 0.054        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[9][2]        ;
5666
; 0.055  ; 0.055        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[15][3]       ;
5667
; 0.055  ; 0.055        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[7][0]        ;
5668
; 0.055  ; 0.055        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[7][5]        ;
5669
; 0.056  ; 0.056        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[10][2]|datab ;
5670
; 0.057  ; 0.057        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[3][7]        ;
5671
; 0.058  ; 0.058        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[15][2]       ;
5672
; 0.058  ; 0.058        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[15][4]|datab ;
5673
; 0.058  ; 0.058        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[1][3]        ;
5674
; 0.061  ; 0.061        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[15][1]|datac ;
5675
; 0.062  ; 0.062        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[8][7]|datad  ;
5676
; 0.063  ; 0.063        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[10][2]       ;
5677
; 0.064  ; 0.064        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[10][4]|datad ;
5678
; 0.065  ; 0.065        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[2][6]        ;
5679
; 0.065  ; 0.065        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[9][2]|datac  ;
5680
; 0.066  ; 0.066        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[12][3]       ;
5681
; 0.066  ; 0.066        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[15][4]       ;
5682
; 0.067  ; 0.067        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[0][0]        ;
5683
; 0.068  ; 0.068        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[15][2]|dataa ;
5684
; 0.068  ; 0.068        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[15][3]|dataa ;
5685
; 0.069  ; 0.069        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[7][1]        ;
5686
; 0.070  ; 0.070        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[11][6]       ;
5687
; 0.071  ; 0.071        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[10][3]|datab ;
5688
; 0.071  ; 0.071        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[3][7]|datac  ;
5689
; 0.072  ; 0.072        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[10][1]|datab ;
5690
; 0.073  ; 0.073        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[12][0]|datab ;
5691
; 0.074  ; 0.074        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[0][1]        ;
5692
; 0.074  ; 0.074        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[0][4]        ;
5693
; 0.074  ; 0.074        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[0][6]|datad  ;
5694
; 0.074  ; 0.074        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[12][7]|datab ;
5695
; 0.075  ; 0.075        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[0][2]        ;
5696
; 0.075  ; 0.075        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[10][6]       ;
5697
; 0.075  ; 0.075        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[2][2]|dataa  ;
5698
; 0.075  ; 0.075        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[2][6]|dataa  ;
5699
; 0.075  ; 0.075        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[9][6]        ;
5700
; 0.076  ; 0.076        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[13][4]|datad ;
5701
; 0.076  ; 0.076        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[3][3]        ;
5702
; 0.076  ; 0.076        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[3][5]        ;
5703
; 0.077  ; 0.077        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[4][7]        ;
5704
; 0.078  ; 0.078        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[0][0]|datac  ;
5705
; 0.078  ; 0.078        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[1][3]|datab  ;
5706
; 0.080  ; 0.080        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[12][2]       ;
5707
; 0.080  ; 0.080        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[12][3]|datac ;
5708
; 0.080  ; 0.080        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[12][7]       ;
5709
; 0.080  ; 0.080        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[7][3]        ;
5710
; 0.080  ; 0.080        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[7][7]        ;
5711
; 0.081  ; 0.081        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[10][7]       ;
5712
; 0.081  ; 0.081        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[12][6]       ;
5713
; 0.082  ; 0.082        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[10][5]       ;
5714
; 0.082  ; 0.082        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[5][4]        ;
5715
; 0.082  ; 0.082        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[5][6]        ;
5716
; 0.083  ; 0.083        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[5][0]        ;
5717
; 0.083  ; 0.083        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[8][6]        ;
5718
; 0.086  ; 0.086        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[5][3]        ;
5719
; 0.087  ; 0.087        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[30][0]       ;
5720
; 0.087  ; 0.087        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[30][1]       ;
5721
; 0.087  ; 0.087        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[3][3]|datac  ;
5722
; 0.087  ; 0.087        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[3][5]|datac  ;
5723
; 0.087  ; 0.087        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[4][7]|dataa  ;
5724
; 0.087  ; 0.087        ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[5][7]        ;
5725
; 0.088  ; 0.088        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[0][1]|datac  ;
5726
; 0.088  ; 0.088        ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[0][4]|datac  ;
5727
+--------+--------------+----------------+------------------+-----------------------+------------+----------------------+
5728
 
5729
 
5730
+----------------------------------------------------------------------------------------------------------------------------------------------------------------------+
5731
; Setup Times                                                                                                                                                          ;
5732
+-------------+-------------------------------------------------------------+-------+-------+------------+-------------------------------------------------------------+
5733
; Data Port   ; Clock Port                                                  ; Rise  ; Fall  ; Clock Edge ; Clock Reference                                             ;
5734
+-------------+-------------------------------------------------------------+-------+-------+------------+-------------------------------------------------------------+
5735
; PS2_CLK     ; CLOCK_50                                                    ; 3.137 ; 3.558 ; Rise       ; CLOCK_50                                                    ;
5736
; SW[*]       ; CLOCK_50                                                    ; 4.473 ; 4.960 ; Rise       ; CLOCK_50                                                    ;
5737
;  SW[17]     ; CLOCK_50                                                    ; 4.473 ; 4.960 ; Rise       ; CLOCK_50                                                    ;
5738
; KEY[*]      ; SW[16]                                                      ; 3.173 ; 3.474 ; Rise       ; SW[16]                                                      ;
5739
;  KEY[0]     ; SW[16]                                                      ; 3.173 ; 3.474 ; Rise       ; SW[16]                                                      ;
5740
;  KEY[1]     ; SW[16]                                                      ; 2.615 ; 2.936 ; Rise       ; SW[16]                                                      ;
5741
;  KEY[2]     ; SW[16]                                                      ; 2.272 ; 2.555 ; Rise       ; SW[16]                                                      ;
5742
;  KEY[3]     ; SW[16]                                                      ; 1.139 ; 1.512 ; Rise       ; SW[16]                                                      ;
5743
; SRAM_DQ[*]  ; SW[16]                                                      ; 2.869 ; 3.094 ; Rise       ; SW[16]                                                      ;
5744
;  SRAM_DQ[0] ; SW[16]                                                      ; 2.869 ; 3.094 ; Rise       ; SW[16]                                                      ;
5745
;  SRAM_DQ[1] ; SW[16]                                                      ; 2.557 ; 3.002 ; Rise       ; SW[16]                                                      ;
5746
;  SRAM_DQ[2] ; SW[16]                                                      ; 1.750 ; 2.248 ; Rise       ; SW[16]                                                      ;
5747
;  SRAM_DQ[3] ; SW[16]                                                      ; 1.904 ; 2.366 ; Rise       ; SW[16]                                                      ;
5748
;  SRAM_DQ[4] ; SW[16]                                                      ; 1.490 ; 1.924 ; Rise       ; SW[16]                                                      ;
5749
;  SRAM_DQ[5] ; SW[16]                                                      ; 1.465 ; 1.858 ; Rise       ; SW[16]                                                      ;
5750
;  SRAM_DQ[6] ; SW[16]                                                      ; 2.071 ; 2.490 ; Rise       ; SW[16]                                                      ;
5751
;  SRAM_DQ[7] ; SW[16]                                                      ; 1.910 ; 2.337 ; Rise       ; SW[16]                                                      ;
5752
; SW[*]       ; SW[16]                                                      ; 3.145 ; 3.500 ; Rise       ; SW[16]                                                      ;
5753
;  SW[0]      ; SW[16]                                                      ; 3.145 ; 3.500 ; Rise       ; SW[16]                                                      ;
5754
;  SW[1]      ; SW[16]                                                      ; 1.800 ; 2.124 ; Rise       ; SW[16]                                                      ;
5755
;  SW[2]      ; SW[16]                                                      ; 2.414 ; 2.836 ; Rise       ; SW[16]                                                      ;
5756
;  SW[3]      ; SW[16]                                                      ; 1.625 ; 2.027 ; Rise       ; SW[16]                                                      ;
5757
;  SW[4]      ; SW[16]                                                      ; 0.818 ; 1.160 ; Rise       ; SW[16]                                                      ;
5758
;  SW[5]      ; SW[16]                                                      ; 1.129 ; 1.470 ; Rise       ; SW[16]                                                      ;
5759
;  SW[6]      ; SW[16]                                                      ; 1.799 ; 2.155 ; Rise       ; SW[16]                                                      ;
5760
;  SW[7]      ; SW[16]                                                      ; 1.718 ; 2.028 ; Rise       ; SW[16]                                                      ;
5761
;  SW[8]      ; SW[16]                                                      ; 2.871 ; 3.072 ; Rise       ; SW[16]                                                      ;
5762
;  SW[9]      ; SW[16]                                                      ; 2.630 ; 3.052 ; Rise       ; SW[16]                                                      ;
5763
;  SW[10]     ; SW[16]                                                      ; 2.087 ; 2.455 ; Rise       ; SW[16]                                                      ;
5764
;  SW[11]     ; SW[16]                                                      ; 2.687 ; 3.041 ; Rise       ; SW[16]                                                      ;
5765
;  SW[12]     ; SW[16]                                                      ; 0.978 ; 1.271 ; Rise       ; SW[16]                                                      ;
5766
;  SW[13]     ; SW[16]                                                      ; 1.716 ; 2.041 ; Rise       ; SW[16]                                                      ;
5767
;  SW[14]     ; SW[16]                                                      ; 1.751 ; 2.081 ; Rise       ; SW[16]                                                      ;
5768
;  SW[15]     ; SW[16]                                                      ; 1.917 ; 2.193 ; Rise       ; SW[16]                                                      ;
5769
; PS2_DAT     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 2.405 ; 2.698 ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ;
5770
; SW[*]       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 3.325 ; 3.795 ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ;
5771
;  SW[17]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 3.325 ; 3.795 ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ;
5772
+-------------+-------------------------------------------------------------+-------+-------+------------+-------------------------------------------------------------+
5773
 
5774
 
5775
+------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
5776
; Hold Times                                                                                                                                                             ;
5777
+-------------+-------------------------------------------------------------+--------+--------+------------+-------------------------------------------------------------+
5778
; Data Port   ; Clock Port                                                  ; Rise   ; Fall   ; Clock Edge ; Clock Reference                                             ;
5779
+-------------+-------------------------------------------------------------+--------+--------+------------+-------------------------------------------------------------+
5780
; PS2_CLK     ; CLOCK_50                                                    ; -2.672 ; -3.078 ; Rise       ; CLOCK_50                                                    ;
5781
; SW[*]       ; CLOCK_50                                                    ; -2.579 ; -2.910 ; Rise       ; CLOCK_50                                                    ;
5782
;  SW[17]     ; CLOCK_50                                                    ; -2.579 ; -2.910 ; Rise       ; CLOCK_50                                                    ;
5783
; KEY[*]      ; SW[16]                                                      ; 0.056  ; -0.333 ; Rise       ; SW[16]                                                      ;
5784
;  KEY[0]     ; SW[16]                                                      ; -1.864 ; -2.164 ; Rise       ; SW[16]                                                      ;
5785
;  KEY[1]     ; SW[16]                                                      ; -1.335 ; -1.680 ; Rise       ; SW[16]                                                      ;
5786
;  KEY[2]     ; SW[16]                                                      ; -1.105 ; -1.411 ; Rise       ; SW[16]                                                      ;
5787
;  KEY[3]     ; SW[16]                                                      ; 0.056  ; -0.333 ; Rise       ; SW[16]                                                      ;
5788
; SRAM_DQ[*]  ; SW[16]                                                      ; -0.412 ; -0.788 ; Rise       ; SW[16]                                                      ;
5789
;  SRAM_DQ[0] ; SW[16]                                                      ; -1.836 ; -2.033 ; Rise       ; SW[16]                                                      ;
5790
;  SRAM_DQ[1] ; SW[16]                                                      ; -1.346 ; -1.751 ; Rise       ; SW[16]                                                      ;
5791
;  SRAM_DQ[2] ; SW[16]                                                      ; -0.683 ; -1.173 ; Rise       ; SW[16]                                                      ;
5792
;  SRAM_DQ[3] ; SW[16]                                                      ; -0.687 ; -1.131 ; Rise       ; SW[16]                                                      ;
5793
;  SRAM_DQ[4] ; SW[16]                                                      ; -0.453 ; -0.871 ; Rise       ; SW[16]                                                      ;
5794
;  SRAM_DQ[5] ; SW[16]                                                      ; -0.412 ; -0.788 ; Rise       ; SW[16]                                                      ;
5795
;  SRAM_DQ[6] ; SW[16]                                                      ; -0.945 ; -1.381 ; Rise       ; SW[16]                                                      ;
5796
;  SRAM_DQ[7] ; SW[16]                                                      ; -0.907 ; -1.350 ; Rise       ; SW[16]                                                      ;
5797
; SW[*]       ; SW[16]                                                      ; 0.113  ; -0.210 ; Rise       ; SW[16]                                                      ;
5798
;  SW[0]      ; SW[16]                                                      ; -1.857 ; -2.200 ; Rise       ; SW[16]                                                      ;
5799
;  SW[1]      ; SW[16]                                                      ; -0.662 ; -0.948 ; Rise       ; SW[16]                                                      ;
5800
;  SW[2]      ; SW[16]                                                      ; -1.275 ; -1.645 ; Rise       ; SW[16]                                                      ;
5801
;  SW[3]      ; SW[16]                                                      ; -0.427 ; -0.809 ; Rise       ; SW[16]                                                      ;
5802
;  SW[4]      ; SW[16]                                                      ; 0.113  ; -0.210 ; Rise       ; SW[16]                                                      ;
5803
;  SW[5]      ; SW[16]                                                      ; -0.144 ; -0.462 ; Rise       ; SW[16]                                                      ;
5804
;  SW[6]      ; SW[16]                                                      ; -0.770 ; -1.085 ; Rise       ; SW[16]                                                      ;
5805
;  SW[7]      ; SW[16]                                                      ; -0.788 ; -1.097 ; Rise       ; SW[16]                                                      ;
5806
;  SW[8]      ; SW[16]                                                      ; -1.843 ; -2.010 ; Rise       ; SW[16]                                                      ;
5807
;  SW[9]      ; SW[16]                                                      ; -1.382 ; -1.756 ; Rise       ; SW[16]                                                      ;
5808
;  SW[10]     ; SW[16]                                                      ; -1.008 ; -1.365 ; Rise       ; SW[16]                                                      ;
5809
;  SW[11]     ; SW[16]                                                      ; -1.449 ; -1.753 ; Rise       ; SW[16]                                                      ;
5810
;  SW[12]     ; SW[16]                                                      ; -0.040 ; -0.316 ; Rise       ; SW[16]                                                      ;
5811
;  SW[13]     ; SW[16]                                                      ; -0.709 ; -1.016 ; Rise       ; SW[16]                                                      ;
5812
;  SW[14]     ; SW[16]                                                      ; -0.725 ; -1.017 ; Rise       ; SW[16]                                                      ;
5813
;  SW[15]     ; SW[16]                                                      ; -0.981 ; -1.258 ; Rise       ; SW[16]                                                      ;
5814
; PS2_DAT     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; -0.505 ; -0.839 ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ;
5815
; SW[*]       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; -1.117 ; -1.496 ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ;
5816
;  SW[17]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; -1.117 ; -1.496 ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ;
5817
+-------------+-------------------------------------------------------------+--------+--------+------------+-------------------------------------------------------------+
5818
 
5819
 
5820
+-------------------------------------------------------------------------------------------------------------------+
5821
; Clock to Output Times                                                                                             ;
5822
+----------------+---------------------------------+--------+--------+------------+---------------------------------+
5823
; Data Port      ; Clock Port                      ; Rise   ; Fall   ; Clock Edge ; Clock Reference                 ;
5824
+----------------+---------------------------------+--------+--------+------------+---------------------------------+
5825
; LCD_DATA[*]    ; CLOCK_50                        ; 11.083 ; 11.108 ; Rise       ; CLOCK_50                        ;
5826
;  LCD_DATA[0]   ; CLOCK_50                        ; 10.705 ; 10.397 ; Rise       ; CLOCK_50                        ;
5827
;  LCD_DATA[1]   ; CLOCK_50                        ; 9.501  ; 9.362  ; Rise       ; CLOCK_50                        ;
5828
;  LCD_DATA[2]   ; CLOCK_50                        ; 11.083 ; 10.908 ; Rise       ; CLOCK_50                        ;
5829
;  LCD_DATA[3]   ; CLOCK_50                        ; 10.749 ; 11.108 ; Rise       ; CLOCK_50                        ;
5830
;  LCD_DATA[4]   ; CLOCK_50                        ; 10.014 ; 10.124 ; Rise       ; CLOCK_50                        ;
5831
;  LCD_DATA[5]   ; CLOCK_50                        ; 10.664 ; 11.078 ; Rise       ; CLOCK_50                        ;
5832
;  LCD_DATA[6]   ; CLOCK_50                        ; 8.734  ; 8.584  ; Rise       ; CLOCK_50                        ;
5833
;  LCD_DATA[7]   ; CLOCK_50                        ; 10.872 ; 10.407 ; Rise       ; CLOCK_50                        ;
5834
; LCD_EN         ; CLOCK_50                        ; 11.321 ; 11.709 ; Rise       ; CLOCK_50                        ;
5835
; LCD_ON         ; CLOCK_50                        ; 11.238 ; 10.826 ; Rise       ; CLOCK_50                        ;
5836
; LCD_RS         ; CLOCK_50                        ; 9.954  ; 9.781  ; Rise       ; CLOCK_50                        ;
5837
; HEX0[*]        ; SW[16]                          ; 15.234 ; 15.014 ; Rise       ; SW[16]                          ;
5838
;  HEX0[0]       ; SW[16]                          ; 13.907 ; 13.766 ; Rise       ; SW[16]                          ;
5839
;  HEX0[1]       ; SW[16]                          ; 15.089 ; 15.014 ; Rise       ; SW[16]                          ;
5840
;  HEX0[2]       ; SW[16]                          ; 14.130 ; 13.903 ; Rise       ; SW[16]                          ;
5841
;  HEX0[3]       ; SW[16]                          ; 13.321 ; 13.204 ; Rise       ; SW[16]                          ;
5842
;  HEX0[4]       ; SW[16]                          ; 13.188 ; 13.001 ; Rise       ; SW[16]                          ;
5843
;  HEX0[5]       ; SW[16]                          ; 15.234 ; 14.752 ; Rise       ; SW[16]                          ;
5844
;  HEX0[6]       ; SW[16]                          ; 13.331 ; 13.483 ; Rise       ; SW[16]                          ;
5845
; HEX1[*]        ; SW[16]                          ; 15.603 ; 14.924 ; Rise       ; SW[16]                          ;
5846
;  HEX1[0]       ; SW[16]                          ; 13.113 ; 12.878 ; Rise       ; SW[16]                          ;
5847
;  HEX1[1]       ; SW[16]                          ; 14.238 ; 13.754 ; Rise       ; SW[16]                          ;
5848
;  HEX1[2]       ; SW[16]                          ; 13.274 ; 13.192 ; Rise       ; SW[16]                          ;
5849
;  HEX1[3]       ; SW[16]                          ; 13.819 ; 13.448 ; Rise       ; SW[16]                          ;
5850
;  HEX1[4]       ; SW[16]                          ; 13.309 ; 13.111 ; Rise       ; SW[16]                          ;
5851
;  HEX1[5]       ; SW[16]                          ; 15.603 ; 14.924 ; Rise       ; SW[16]                          ;
5852
;  HEX1[6]       ; SW[16]                          ; 13.883 ; 14.321 ; Rise       ; SW[16]                          ;
5853
; HEX2[*]        ; SW[16]                          ; 14.210 ; 13.676 ; Rise       ; SW[16]                          ;
5854
;  HEX2[0]       ; SW[16]                          ; 13.702 ; 13.225 ; Rise       ; SW[16]                          ;
5855
;  HEX2[1]       ; SW[16]                          ; 12.720 ; 12.481 ; Rise       ; SW[16]                          ;
5856
;  HEX2[2]       ; SW[16]                          ; 14.210 ; 13.676 ; Rise       ; SW[16]                          ;
5857
;  HEX2[3]       ; SW[16]                          ; 14.150 ; 13.653 ; Rise       ; SW[16]                          ;
5858
;  HEX2[4]       ; SW[16]                          ; 14.157 ; 13.584 ; Rise       ; SW[16]                          ;
5859
;  HEX2[5]       ; SW[16]                          ; 13.032 ; 12.723 ; Rise       ; SW[16]                          ;
5860
;  HEX2[6]       ; SW[16]                          ; 12.677 ; 12.899 ; Rise       ; SW[16]                          ;
5861
; HEX3[*]        ; SW[16]                          ; 16.082 ; 15.260 ; Rise       ; SW[16]                          ;
5862
;  HEX3[0]       ; SW[16]                          ; 14.228 ; 13.717 ; Rise       ; SW[16]                          ;
5863
;  HEX3[1]       ; SW[16]                          ; 13.795 ; 13.569 ; Rise       ; SW[16]                          ;
5864
;  HEX3[2]       ; SW[16]                          ; 16.082 ; 15.260 ; Rise       ; SW[16]                          ;
5865
;  HEX3[3]       ; SW[16]                          ; 13.438 ; 13.238 ; Rise       ; SW[16]                          ;
5866
;  HEX3[4]       ; SW[16]                          ; 14.298 ; 13.984 ; Rise       ; SW[16]                          ;
5867
;  HEX3[5]       ; SW[16]                          ; 13.666 ; 13.434 ; Rise       ; SW[16]                          ;
5868
;  HEX3[6]       ; SW[16]                          ; 12.752 ; 12.899 ; Rise       ; SW[16]                          ;
5869
; HEX4[*]        ; SW[16]                          ; 13.594 ; 13.261 ; Rise       ; SW[16]                          ;
5870
;  HEX4[0]       ; SW[16]                          ; 13.594 ; 13.261 ; Rise       ; SW[16]                          ;
5871
;  HEX4[1]       ; SW[16]                          ; 13.491 ; 13.224 ; Rise       ; SW[16]                          ;
5872
;  HEX4[2]       ; SW[16]                          ; 12.299 ; 12.051 ; Rise       ; SW[16]                          ;
5873
;  HEX4[3]       ; SW[16]                          ; 12.265 ; 12.086 ; Rise       ; SW[16]                          ;
5874
;  HEX4[4]       ; SW[16]                          ; 12.480 ; 12.317 ; Rise       ; SW[16]                          ;
5875
;  HEX4[5]       ; SW[16]                          ; 12.343 ; 12.102 ; Rise       ; SW[16]                          ;
5876
;  HEX4[6]       ; SW[16]                          ; 11.811 ; 11.932 ; Rise       ; SW[16]                          ;
5877
; HEX5[*]        ; SW[16]                          ; 14.465 ; 14.001 ; Rise       ; SW[16]                          ;
5878
;  HEX5[0]       ; SW[16]                          ; 13.615 ; 13.316 ; Rise       ; SW[16]                          ;
5879
;  HEX5[1]       ; SW[16]                          ; 14.465 ; 14.001 ; Rise       ; SW[16]                          ;
5880
;  HEX5[2]       ; SW[16]                          ; 12.835 ; 12.606 ; Rise       ; SW[16]                          ;
5881
;  HEX5[3]       ; SW[16]                          ; 13.116 ; 12.838 ; Rise       ; SW[16]                          ;
5882
;  HEX5[4]       ; SW[16]                          ; 12.815 ; 12.620 ; Rise       ; SW[16]                          ;
5883
;  HEX5[5]       ; SW[16]                          ; 13.545 ; 13.211 ; Rise       ; SW[16]                          ;
5884
;  HEX5[6]       ; SW[16]                          ; 12.687 ; 12.875 ; Rise       ; SW[16]                          ;
5885
; HEX6[*]        ; SW[16]                          ; 13.147 ; 12.614 ; Rise       ; SW[16]                          ;
5886
;  HEX6[0]       ; SW[16]                          ; 12.373 ; 12.099 ; Rise       ; SW[16]                          ;
5887
;  HEX6[1]       ; SW[16]                          ; 11.301 ; 11.146 ; Rise       ; SW[16]                          ;
5888
;  HEX6[2]       ; SW[16]                          ; 11.307 ; 11.100 ; Rise       ; SW[16]                          ;
5889
;  HEX6[3]       ; SW[16]                          ; 12.673 ; 12.350 ; Rise       ; SW[16]                          ;
5890
;  HEX6[4]       ; SW[16]                          ; 11.331 ; 11.161 ; Rise       ; SW[16]                          ;
5891
;  HEX6[5]       ; SW[16]                          ; 13.147 ; 12.614 ; Rise       ; SW[16]                          ;
5892
;  HEX6[6]       ; SW[16]                          ; 11.498 ; 11.696 ; Rise       ; SW[16]                          ;
5893
; HEX7[*]        ; SW[16]                          ; 11.843 ; 12.057 ; Rise       ; SW[16]                          ;
5894
;  HEX7[0]       ; SW[16]                          ; 11.785 ; 11.541 ; Rise       ; SW[16]                          ;
5895
;  HEX7[1]       ; SW[16]                          ; 11.465 ; 11.291 ; Rise       ; SW[16]                          ;
5896
;  HEX7[2]       ; SW[16]                          ; 11.644 ; 11.400 ; Rise       ; SW[16]                          ;
5897
;  HEX7[3]       ; SW[16]                          ; 11.654 ; 11.441 ; Rise       ; SW[16]                          ;
5898
;  HEX7[4]       ; SW[16]                          ; 11.572 ; 11.399 ; Rise       ; SW[16]                          ;
5899
;  HEX7[5]       ; SW[16]                          ; 11.524 ; 11.368 ; Rise       ; SW[16]                          ;
5900
;  HEX7[6]       ; SW[16]                          ; 11.843 ; 12.057 ; Rise       ; SW[16]                          ;
5901
; LEDG[*]        ; SW[16]                          ; 16.442 ; 16.149 ; Rise       ; SW[16]                          ;
5902
;  LEDG[0]       ; SW[16]                          ; 12.561 ; 12.517 ; Rise       ; SW[16]                          ;
5903
;  LEDG[1]       ; SW[16]                          ; 16.442 ; 16.149 ; Rise       ; SW[16]                          ;
5904
;  LEDG[2]       ; SW[16]                          ; 14.294 ; 14.114 ; Rise       ; SW[16]                          ;
5905
;  LEDG[3]       ; SW[16]                          ; 13.035 ; 12.904 ; Rise       ; SW[16]                          ;
5906
;  LEDG[4]       ; SW[16]                          ; 13.678 ; 13.488 ; Rise       ; SW[16]                          ;
5907
;  LEDG[5]       ; SW[16]                          ; 12.524 ; 12.424 ; Rise       ; SW[16]                          ;
5908
;  LEDG[6]       ; SW[16]                          ; 13.596 ; 13.394 ; Rise       ; SW[16]                          ;
5909
;  LEDG[7]       ; SW[16]                          ; 13.949 ; 13.725 ; Rise       ; SW[16]                          ;
5910
; LEDR[*]        ; SW[16]                          ; 16.646 ; 16.505 ; Rise       ; SW[16]                          ;
5911
;  LEDR[0]       ; SW[16]                          ; 12.298 ; 12.230 ; Rise       ; SW[16]                          ;
5912
;  LEDR[1]       ; SW[16]                          ; 15.458 ; 15.084 ; Rise       ; SW[16]                          ;
5913
;  LEDR[2]       ; SW[16]                          ; 16.646 ; 16.505 ; Rise       ; SW[16]                          ;
5914
;  LEDR[3]       ; SW[16]                          ; 13.524 ; 13.459 ; Rise       ; SW[16]                          ;
5915
;  LEDR[4]       ; SW[16]                          ; 12.814 ; 12.744 ; Rise       ; SW[16]                          ;
5916
;  LEDR[5]       ; SW[16]                          ; 14.928 ; 14.612 ; Rise       ; SW[16]                          ;
5917
;  LEDR[6]       ; SW[16]                          ; 13.219 ; 13.181 ; Rise       ; SW[16]                          ;
5918
;  LEDR[7]       ; SW[16]                          ; 13.867 ; 13.650 ; Rise       ; SW[16]                          ;
5919
;  LEDR[8]       ; SW[16]                          ; 13.872 ; 13.642 ; Rise       ; SW[16]                          ;
5920
;  LEDR[9]       ; SW[16]                          ; 13.531 ; 13.573 ; Rise       ; SW[16]                          ;
5921
;  LEDR[10]      ; SW[16]                          ; 13.677 ; 13.493 ; Rise       ; SW[16]                          ;
5922
;  LEDR[11]      ; SW[16]                          ; 13.352 ; 13.188 ; Rise       ; SW[16]                          ;
5923
;  LEDR[12]      ; SW[16]                          ; 13.562 ; 13.411 ; Rise       ; SW[16]                          ;
5924
;  LEDR[13]      ; SW[16]                          ; 13.728 ; 13.547 ; Rise       ; SW[16]                          ;
5925
;  LEDR[14]      ; SW[16]                          ; 13.981 ; 13.768 ; Rise       ; SW[16]                          ;
5926
;  LEDR[15]      ; SW[16]                          ; 14.557 ; 14.453 ; Rise       ; SW[16]                          ;
5927
; SRAM_ADDR[*]   ; SW[16]                          ; 14.089 ; 14.884 ; Rise       ; SW[16]                          ;
5928
;  SRAM_ADDR[0]  ; SW[16]                          ; 10.250 ; 10.164 ; Rise       ; SW[16]                          ;
5929
;  SRAM_ADDR[1]  ; SW[16]                          ; 10.298 ; 10.227 ; Rise       ; SW[16]                          ;
5930
;  SRAM_ADDR[2]  ; SW[16]                          ; 11.632 ; 11.628 ; Rise       ; SW[16]                          ;
5931
;  SRAM_ADDR[3]  ; SW[16]                          ; 11.091 ; 11.025 ; Rise       ; SW[16]                          ;
5932
;  SRAM_ADDR[4]  ; SW[16]                          ; 11.554 ; 11.482 ; Rise       ; SW[16]                          ;
5933
;  SRAM_ADDR[5]  ; SW[16]                          ; 11.174 ; 11.123 ; Rise       ; SW[16]                          ;
5934
;  SRAM_ADDR[6]  ; SW[16]                          ; 10.406 ; 10.328 ; Rise       ; SW[16]                          ;
5935
;  SRAM_ADDR[7]  ; SW[16]                          ; 10.637 ; 10.638 ; Rise       ; SW[16]                          ;
5936
;  SRAM_ADDR[8]  ; SW[16]                          ; 11.197 ; 11.041 ; Rise       ; SW[16]                          ;
5937
;  SRAM_ADDR[9]  ; SW[16]                          ; 11.650 ; 11.173 ; Rise       ; SW[16]                          ;
5938
;  SRAM_ADDR[10] ; SW[16]                          ; 11.162 ; 10.986 ; Rise       ; SW[16]                          ;
5939
;  SRAM_ADDR[11] ; SW[16]                          ; 9.782  ; 9.733  ; Rise       ; SW[16]                          ;
5940
;  SRAM_ADDR[12] ; SW[16]                          ; 12.367 ; 11.943 ; Rise       ; SW[16]                          ;
5941
;  SRAM_ADDR[13] ; SW[16]                          ; 10.417 ; 10.240 ; Rise       ; SW[16]                          ;
5942
;  SRAM_ADDR[14] ; SW[16]                          ; 11.468 ; 11.673 ; Rise       ; SW[16]                          ;
5943
;  SRAM_ADDR[15] ; SW[16]                          ; 14.089 ; 14.884 ; Rise       ; SW[16]                          ;
5944
; SRAM_DQ[*]     ; SW[16]                          ; 14.847 ; 14.708 ; Rise       ; SW[16]                          ;
5945
;  SRAM_DQ[0]    ; SW[16]                          ; 12.768 ; 12.670 ; Rise       ; SW[16]                          ;
5946
;  SRAM_DQ[1]    ; SW[16]                          ; 13.253 ; 13.231 ; Rise       ; SW[16]                          ;
5947
;  SRAM_DQ[2]    ; SW[16]                          ; 14.847 ; 14.708 ; Rise       ; SW[16]                          ;
5948
;  SRAM_DQ[3]    ; SW[16]                          ; 13.773 ; 13.684 ; Rise       ; SW[16]                          ;
5949
;  SRAM_DQ[4]    ; SW[16]                          ; 14.318 ; 14.204 ; Rise       ; SW[16]                          ;
5950
;  SRAM_DQ[5]    ; SW[16]                          ; 13.399 ; 13.304 ; Rise       ; SW[16]                          ;
5951
;  SRAM_DQ[6]    ; SW[16]                          ; 14.446 ; 14.245 ; Rise       ; SW[16]                          ;
5952
;  SRAM_DQ[7]    ; SW[16]                          ; 12.525 ; 12.477 ; Rise       ; SW[16]                          ;
5953
; SRAM_OE_N      ; SW[16]                          ; 13.622 ; 13.581 ; Rise       ; SW[16]                          ;
5954
; SRAM_WE_N      ; SW[16]                          ; 12.002 ; 12.102 ; Rise       ; SW[16]                          ;
5955
; SRAM_OE_N      ; T80se:z80_inst|MREQ_n           ;        ; 6.881  ; Rise       ; T80se:z80_inst|MREQ_n           ;
5956
; SRAM_WE_N      ; T80se:z80_inst|MREQ_n           ;        ; 7.488  ; Rise       ; T80se:z80_inst|MREQ_n           ;
5957
; SRAM_OE_N      ; T80se:z80_inst|MREQ_n           ; 6.941  ;        ; Fall       ; T80se:z80_inst|MREQ_n           ;
5958
; SRAM_WE_N      ; T80se:z80_inst|MREQ_n           ; 7.380  ;        ; Fall       ; T80se:z80_inst|MREQ_n           ;
5959
; VGA_B[*]       ; clk_div:clkdiv_inst|clock_25MHz ; 10.336 ; 9.995  ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
5960
;  VGA_B[4]      ; clk_div:clkdiv_inst|clock_25MHz ; 9.657  ; 9.364  ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
5961
;  VGA_B[5]      ; clk_div:clkdiv_inst|clock_25MHz ; 9.096  ; 8.864  ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
5962
;  VGA_B[6]      ; clk_div:clkdiv_inst|clock_25MHz ; 10.336 ; 9.995  ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
5963
;  VGA_B[7]      ; clk_div:clkdiv_inst|clock_25MHz ; 9.378  ; 9.124  ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
5964
; VGA_CLK        ; clk_div:clkdiv_inst|clock_25MHz ; 3.662  ;        ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
5965
; VGA_HS         ; clk_div:clkdiv_inst|clock_25MHz ; 7.924  ; 7.819  ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
5966
; VGA_VS         ; clk_div:clkdiv_inst|clock_25MHz ; 7.370  ; 7.268  ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
5967
; VGA_CLK        ; clk_div:clkdiv_inst|clock_25MHz ;        ; 3.498  ; Fall       ; clk_div:clkdiv_inst|clock_25MHz ;
5968
+----------------+---------------------------------+--------+--------+------------+---------------------------------+
5969
 
5970
 
5971
+-------------------------------------------------------------------------------------------------------------------+
5972
; Minimum Clock to Output Times                                                                                     ;
5973
+----------------+---------------------------------+--------+--------+------------+---------------------------------+
5974
; Data Port      ; Clock Port                      ; Rise   ; Fall   ; Clock Edge ; Clock Reference                 ;
5975
+----------------+---------------------------------+--------+--------+------------+---------------------------------+
5976
; LCD_DATA[*]    ; CLOCK_50                        ; 8.409  ; 8.261  ; Rise       ; CLOCK_50                        ;
5977
;  LCD_DATA[0]   ; CLOCK_50                        ; 10.301 ; 10.001 ; Rise       ; CLOCK_50                        ;
5978
;  LCD_DATA[1]   ; CLOCK_50                        ; 9.146  ; 9.008  ; Rise       ; CLOCK_50                        ;
5979
;  LCD_DATA[2]   ; CLOCK_50                        ; 10.665 ; 10.493 ; Rise       ; CLOCK_50                        ;
5980
;  LCD_DATA[3]   ; CLOCK_50                        ; 10.339 ; 10.687 ; Rise       ; CLOCK_50                        ;
5981
;  LCD_DATA[4]   ; CLOCK_50                        ; 9.634  ; 9.744  ; Rise       ; CLOCK_50                        ;
5982
;  LCD_DATA[5]   ; CLOCK_50                        ; 10.258 ; 10.660 ; Rise       ; CLOCK_50                        ;
5983
;  LCD_DATA[6]   ; CLOCK_50                        ; 8.409  ; 8.261  ; Rise       ; CLOCK_50                        ;
5984
;  LCD_DATA[7]   ; CLOCK_50                        ; 10.532 ; 10.068 ; Rise       ; CLOCK_50                        ;
5985
; LCD_EN         ; CLOCK_50                        ; 10.889 ; 11.266 ; Rise       ; CLOCK_50                        ;
5986
; LCD_ON         ; CLOCK_50                        ; 10.882 ; 10.469 ; Rise       ; CLOCK_50                        ;
5987
; LCD_RS         ; CLOCK_50                        ; 9.580  ; 9.410  ; Rise       ; CLOCK_50                        ;
5988
; HEX0[*]        ; SW[16]                          ; 12.255 ; 12.089 ; Rise       ; SW[16]                          ;
5989
;  HEX0[0]       ; SW[16]                          ; 13.039 ; 12.846 ; Rise       ; SW[16]                          ;
5990
;  HEX0[1]       ; SW[16]                          ; 14.231 ; 14.097 ; Rise       ; SW[16]                          ;
5991
;  HEX0[2]       ; SW[16]                          ; 13.271 ; 12.994 ; Rise       ; SW[16]                          ;
5992
;  HEX0[3]       ; SW[16]                          ; 12.380 ; 12.238 ; Rise       ; SW[16]                          ;
5993
;  HEX0[4]       ; SW[16]                          ; 12.255 ; 12.089 ; Rise       ; SW[16]                          ;
5994
;  HEX0[5]       ; SW[16]                          ; 14.276 ; 13.811 ; Rise       ; SW[16]                          ;
5995
;  HEX0[6]       ; SW[16]                          ; 12.379 ; 12.552 ; Rise       ; SW[16]                          ;
5996
; HEX1[*]        ; SW[16]                          ; 12.228 ; 12.005 ; Rise       ; SW[16]                          ;
5997
;  HEX1[0]       ; SW[16]                          ; 12.228 ; 12.005 ; Rise       ; SW[16]                          ;
5998
;  HEX1[1]       ; SW[16]                          ; 13.331 ; 12.828 ; Rise       ; SW[16]                          ;
5999
;  HEX1[2]       ; SW[16]                          ; 12.460 ; 12.352 ; Rise       ; SW[16]                          ;
6000
;  HEX1[3]       ; SW[16]                          ; 12.853 ; 12.495 ; Rise       ; SW[16]                          ;
6001
;  HEX1[4]       ; SW[16]                          ; 12.374 ; 12.186 ; Rise       ; SW[16]                          ;
6002
;  HEX1[5]       ; SW[16]                          ; 14.629 ; 13.971 ; Rise       ; SW[16]                          ;
6003
;  HEX1[6]       ; SW[16]                          ; 12.970 ; 13.451 ; Rise       ; SW[16]                          ;
6004
; HEX2[*]        ; SW[16]                          ; 11.755 ; 11.611 ; Rise       ; SW[16]                          ;
6005
;  HEX2[0]       ; SW[16]                          ; 12.799 ; 12.339 ; Rise       ; SW[16]                          ;
6006
;  HEX2[1]       ; SW[16]                          ; 11.878 ; 11.611 ; Rise       ; SW[16]                          ;
6007
;  HEX2[2]       ; SW[16]                          ; 13.307 ; 12.866 ; Rise       ; SW[16]                          ;
6008
;  HEX2[3]       ; SW[16]                          ; 13.184 ; 12.686 ; Rise       ; SW[16]                          ;
6009
;  HEX2[4]       ; SW[16]                          ; 13.206 ; 12.709 ; Rise       ; SW[16]                          ;
6010
;  HEX2[5]       ; SW[16]                          ; 12.102 ; 11.812 ; Rise       ; SW[16]                          ;
6011
;  HEX2[6]       ; SW[16]                          ; 11.755 ; 11.997 ; Rise       ; SW[16]                          ;
6012
; HEX3[*]        ; SW[16]                          ; 11.809 ; 11.995 ; Rise       ; SW[16]                          ;
6013
;  HEX3[0]       ; SW[16]                          ; 13.299 ; 12.806 ; Rise       ; SW[16]                          ;
6014
;  HEX3[1]       ; SW[16]                          ; 12.904 ; 12.654 ; Rise       ; SW[16]                          ;
6015
;  HEX3[2]       ; SW[16]                          ; 15.171 ; 14.433 ; Rise       ; SW[16]                          ;
6016
;  HEX3[3]       ; SW[16]                          ; 12.485 ; 12.290 ; Rise       ; SW[16]                          ;
6017
;  HEX3[4]       ; SW[16]                          ; 12.839 ; 12.587 ; Rise       ; SW[16]                          ;
6018
;  HEX3[5]       ; SW[16]                          ; 12.231 ; 12.045 ; Rise       ; SW[16]                          ;
6019
;  HEX3[6]       ; SW[16]                          ; 11.809 ; 11.995 ; Rise       ; SW[16]                          ;
6020
; HEX4[*]        ; SW[16]                          ; 10.910 ; 11.059 ; Rise       ; SW[16]                          ;
6021
;  HEX4[0]       ; SW[16]                          ; 12.702 ; 12.381 ; Rise       ; SW[16]                          ;
6022
;  HEX4[1]       ; SW[16]                          ; 12.651 ; 12.331 ; Rise       ; SW[16]                          ;
6023
;  HEX4[2]       ; SW[16]                          ; 11.494 ; 11.311 ; Rise       ; SW[16]                          ;
6024
;  HEX4[3]       ; SW[16]                          ; 11.295 ; 11.059 ; Rise       ; SW[16]                          ;
6025
;  HEX4[4]       ; SW[16]                          ; 11.612 ; 11.427 ; Rise       ; SW[16]                          ;
6026
;  HEX4[5]       ; SW[16]                          ; 11.481 ; 11.207 ; Rise       ; SW[16]                          ;
6027
;  HEX4[6]       ; SW[16]                          ; 10.910 ; 11.080 ; Rise       ; SW[16]                          ;
6028
; HEX5[*]        ; SW[16]                          ; 11.738 ; 11.720 ; Rise       ; SW[16]                          ;
6029
;  HEX5[0]       ; SW[16]                          ; 12.705 ; 12.416 ; Rise       ; SW[16]                          ;
6030
;  HEX5[1]       ; SW[16]                          ; 13.623 ; 13.117 ; Rise       ; SW[16]                          ;
6031
;  HEX5[2]       ; SW[16]                          ; 11.983 ; 11.821 ; Rise       ; SW[16]                          ;
6032
;  HEX5[3]       ; SW[16]                          ; 12.095 ; 11.825 ; Rise       ; SW[16]                          ;
6033
;  HEX5[4]       ; SW[16]                          ; 11.900 ; 11.720 ; Rise       ; SW[16]                          ;
6034
;  HEX5[5]       ; SW[16]                          ; 12.586 ; 12.272 ; Rise       ; SW[16]                          ;
6035
;  HEX5[6]       ; SW[16]                          ; 11.738 ; 11.963 ; Rise       ; SW[16]                          ;
6036
; HEX6[*]        ; SW[16]                          ; 10.464 ; 10.316 ; Rise       ; SW[16]                          ;
6037
;  HEX6[0]       ; SW[16]                          ; 11.516 ; 11.255 ; Rise       ; SW[16]                          ;
6038
;  HEX6[1]       ; SW[16]                          ; 10.508 ; 10.327 ; Rise       ; SW[16]                          ;
6039
;  HEX6[2]       ; SW[16]                          ; 10.507 ; 10.383 ; Rise       ; SW[16]                          ;
6040
;  HEX6[3]       ; SW[16]                          ; 11.766 ; 11.426 ; Rise       ; SW[16]                          ;
6041
;  HEX6[4]       ; SW[16]                          ; 10.464 ; 10.316 ; Rise       ; SW[16]                          ;
6042
;  HEX6[5]       ; SW[16]                          ; 12.275 ; 11.756 ; Rise       ; SW[16]                          ;
6043
;  HEX6[6]       ; SW[16]                          ; 10.604 ; 10.839 ; Rise       ; SW[16]                          ;
6044
; HEX7[*]        ; SW[16]                          ; 10.694 ; 10.492 ; Rise       ; SW[16]                          ;
6045
;  HEX7[0]       ; SW[16]                          ; 10.961 ; 10.715 ; Rise       ; SW[16]                          ;
6046
;  HEX7[1]       ; SW[16]                          ; 10.694 ; 10.541 ; Rise       ; SW[16]                          ;
6047
;  HEX7[2]       ; SW[16]                          ; 10.854 ; 10.653 ; Rise       ; SW[16]                          ;
6048
;  HEX7[3]       ; SW[16]                          ; 10.771 ; 10.577 ; Rise       ; SW[16]                          ;
6049
;  HEX7[4]       ; SW[16]                          ; 10.707 ; 10.532 ; Rise       ; SW[16]                          ;
6050
;  HEX7[5]       ; SW[16]                          ; 10.696 ; 10.492 ; Rise       ; SW[16]                          ;
6051
;  HEX7[6]       ; SW[16]                          ; 10.945 ; 11.196 ; Rise       ; SW[16]                          ;
6052
; LEDG[*]        ; SW[16]                          ; 12.022 ; 11.925 ; Rise       ; SW[16]                          ;
6053
;  LEDG[0]       ; SW[16]                          ; 12.055 ; 12.012 ; Rise       ; SW[16]                          ;
6054
;  LEDG[1]       ; SW[16]                          ; 15.780 ; 15.499 ; Rise       ; SW[16]                          ;
6055
;  LEDG[2]       ; SW[16]                          ; 13.721 ; 13.547 ; Rise       ; SW[16]                          ;
6056
;  LEDG[3]       ; SW[16]                          ; 12.512 ; 12.387 ; Rise       ; SW[16]                          ;
6057
;  LEDG[4]       ; SW[16]                          ; 13.128 ; 12.946 ; Rise       ; SW[16]                          ;
6058
;  LEDG[5]       ; SW[16]                          ; 12.022 ; 11.925 ; Rise       ; SW[16]                          ;
6059
;  LEDG[6]       ; SW[16]                          ; 13.050 ; 12.856 ; Rise       ; SW[16]                          ;
6060
;  LEDG[7]       ; SW[16]                          ; 13.390 ; 13.174 ; Rise       ; SW[16]                          ;
6061
; LEDR[*]        ; SW[16]                          ; 11.805 ; 11.739 ; Rise       ; SW[16]                          ;
6062
;  LEDR[0]       ; SW[16]                          ; 11.805 ; 11.739 ; Rise       ; SW[16]                          ;
6063
;  LEDR[1]       ; SW[16]                          ; 14.836 ; 14.476 ; Rise       ; SW[16]                          ;
6064
;  LEDR[2]       ; SW[16]                          ; 15.976 ; 15.840 ; Rise       ; SW[16]                          ;
6065
;  LEDR[3]       ; SW[16]                          ; 12.980 ; 12.916 ; Rise       ; SW[16]                          ;
6066
;  LEDR[4]       ; SW[16]                          ; 12.298 ; 12.230 ; Rise       ; SW[16]                          ;
6067
;  LEDR[5]       ; SW[16]                          ; 14.328 ; 14.024 ; Rise       ; SW[16]                          ;
6068
;  LEDR[6]       ; SW[16]                          ; 12.687 ; 12.650 ; Rise       ; SW[16]                          ;
6069
;  LEDR[7]       ; SW[16]                          ; 13.310 ; 13.100 ; Rise       ; SW[16]                          ;
6070
;  LEDR[8]       ; SW[16]                          ; 13.313 ; 13.092 ; Rise       ; SW[16]                          ;
6071
;  LEDR[9]       ; SW[16]                          ; 13.034 ; 13.076 ; Rise       ; SW[16]                          ;
6072
;  LEDR[10]      ; SW[16]                          ; 13.126 ; 12.948 ; Rise       ; SW[16]                          ;
6073
;  LEDR[11]      ; SW[16]                          ; 12.815 ; 12.656 ; Rise       ; SW[16]                          ;
6074
;  LEDR[12]      ; SW[16]                          ; 13.017 ; 12.871 ; Rise       ; SW[16]                          ;
6075
;  LEDR[13]      ; SW[16]                          ; 13.175 ; 13.000 ; Rise       ; SW[16]                          ;
6076
;  LEDR[14]      ; SW[16]                          ; 13.419 ; 13.214 ; Rise       ; SW[16]                          ;
6077
;  LEDR[15]      ; SW[16]                          ; 14.019 ; 13.920 ; Rise       ; SW[16]                          ;
6078
; SRAM_ADDR[*]   ; SW[16]                          ; 9.390  ; 9.338  ; Rise       ; SW[16]                          ;
6079
;  SRAM_ADDR[0]  ; SW[16]                          ; 9.836  ; 9.749  ; Rise       ; SW[16]                          ;
6080
;  SRAM_ADDR[1]  ; SW[16]                          ; 9.881  ; 9.809  ; Rise       ; SW[16]                          ;
6081
;  SRAM_ADDR[2]  ; SW[16]                          ; 11.164 ; 11.156 ; Rise       ; SW[16]                          ;
6082
;  SRAM_ADDR[3]  ; SW[16]                          ; 10.642 ; 10.575 ; Rise       ; SW[16]                          ;
6083
;  SRAM_ADDR[4]  ; SW[16]                          ; 11.089 ; 11.015 ; Rise       ; SW[16]                          ;
6084
;  SRAM_ADDR[5]  ; SW[16]                          ; 10.723 ; 10.670 ; Rise       ; SW[16]                          ;
6085
;  SRAM_ADDR[6]  ; SW[16]                          ; 9.987  ; 9.907  ; Rise       ; SW[16]                          ;
6086
;  SRAM_ADDR[7]  ; SW[16]                          ; 10.209 ; 10.205 ; Rise       ; SW[16]                          ;
6087
;  SRAM_ADDR[8]  ; SW[16]                          ; 10.746 ; 10.592 ; Rise       ; SW[16]                          ;
6088
;  SRAM_ADDR[9]  ; SW[16]                          ; 11.250 ; 10.775 ; Rise       ; SW[16]                          ;
6089
;  SRAM_ADDR[10] ; SW[16]                          ; 10.714 ; 10.540 ; Rise       ; SW[16]                          ;
6090
;  SRAM_ADDR[11] ; SW[16]                          ; 9.390  ; 9.338  ; Rise       ; SW[16]                          ;
6091
;  SRAM_ADDR[12] ; SW[16]                          ; 11.940 ; 11.516 ; Rise       ; SW[16]                          ;
6092
;  SRAM_ADDR[13] ; SW[16]                          ; 9.999  ; 9.825  ; Rise       ; SW[16]                          ;
6093
;  SRAM_ADDR[14] ; SW[16]                          ; 11.002 ; 11.204 ; Rise       ; SW[16]                          ;
6094
;  SRAM_ADDR[15] ; SW[16]                          ; 13.362 ; 14.168 ; Rise       ; SW[16]                          ;
6095
; SRAM_DQ[*]     ; SW[16]                          ; 12.021 ; 11.970 ; Rise       ; SW[16]                          ;
6096
;  SRAM_DQ[0]    ; SW[16]                          ; 12.255 ; 12.157 ; Rise       ; SW[16]                          ;
6097
;  SRAM_DQ[1]    ; SW[16]                          ; 12.721 ; 12.695 ; Rise       ; SW[16]                          ;
6098
;  SRAM_DQ[2]    ; SW[16]                          ; 14.251 ; 14.114 ; Rise       ; SW[16]                          ;
6099
;  SRAM_DQ[3]    ; SW[16]                          ; 13.220 ; 13.130 ; Rise       ; SW[16]                          ;
6100
;  SRAM_DQ[4]    ; SW[16]                          ; 13.742 ; 13.629 ; Rise       ; SW[16]                          ;
6101
;  SRAM_DQ[5]    ; SW[16]                          ; 12.861 ; 12.766 ; Rise       ; SW[16]                          ;
6102
;  SRAM_DQ[6]    ; SW[16]                          ; 13.866 ; 13.669 ; Rise       ; SW[16]                          ;
6103
;  SRAM_DQ[7]    ; SW[16]                          ; 12.021 ; 11.970 ; Rise       ; SW[16]                          ;
6104
; SRAM_OE_N      ; SW[16]                          ; 10.884 ; 10.819 ; Rise       ; SW[16]                          ;
6105
; SRAM_WE_N      ; SW[16]                          ; 10.452 ; 10.496 ; Rise       ; SW[16]                          ;
6106
; SRAM_OE_N      ; T80se:z80_inst|MREQ_n           ;        ; 6.605  ; Rise       ; T80se:z80_inst|MREQ_n           ;
6107
; SRAM_WE_N      ; T80se:z80_inst|MREQ_n           ;        ; 7.192  ; Rise       ; T80se:z80_inst|MREQ_n           ;
6108
; SRAM_OE_N      ; T80se:z80_inst|MREQ_n           ; 6.666  ;        ; Fall       ; T80se:z80_inst|MREQ_n           ;
6109
; SRAM_WE_N      ; T80se:z80_inst|MREQ_n           ; 7.085  ;        ; Fall       ; T80se:z80_inst|MREQ_n           ;
6110
; VGA_B[*]       ; clk_div:clkdiv_inst|clock_25MHz ; 8.728  ; 8.501  ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
6111
;  VGA_B[4]      ; clk_div:clkdiv_inst|clock_25MHz ; 9.268  ; 8.982  ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
6112
;  VGA_B[5]      ; clk_div:clkdiv_inst|clock_25MHz ; 8.728  ; 8.501  ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
6113
;  VGA_B[6]      ; clk_div:clkdiv_inst|clock_25MHz ; 9.917  ; 9.586  ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
6114
;  VGA_B[7]      ; clk_div:clkdiv_inst|clock_25MHz ; 8.999  ; 8.751  ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
6115
; VGA_CLK        ; clk_div:clkdiv_inst|clock_25MHz ; 3.520  ;        ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
6116
; VGA_HS         ; clk_div:clkdiv_inst|clock_25MHz ; 7.601  ; 7.497  ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
6117
; VGA_VS         ; clk_div:clkdiv_inst|clock_25MHz ; 7.071  ; 6.970  ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
6118
; VGA_CLK        ; clk_div:clkdiv_inst|clock_25MHz ;        ; 3.359  ; Fall       ; clk_div:clkdiv_inst|clock_25MHz ;
6119
+----------------+---------------------------------+--------+--------+------------+---------------------------------+
6120
 
6121
 
6122
+--------------------------------------------------------------------------------------------+
6123
; Output Enable Times                                                                        ;
6124
+-------------+-----------------------+--------+--------+------------+-----------------------+
6125
; Data Port   ; Clock Port            ; Rise   ; Fall   ; Clock Edge ; Clock Reference       ;
6126
+-------------+-----------------------+--------+--------+------------+-----------------------+
6127
; SRAM_DQ[*]  ; SW[16]                ; 11.895 ; 11.750 ; Rise       ; SW[16]                ;
6128
;  SRAM_DQ[0] ; SW[16]                ; 12.394 ; 12.249 ; Rise       ; SW[16]                ;
6129
;  SRAM_DQ[1] ; SW[16]                ; 11.901 ; 11.756 ; Rise       ; SW[16]                ;
6130
;  SRAM_DQ[2] ; SW[16]                ; 11.895 ; 11.750 ; Rise       ; SW[16]                ;
6131
;  SRAM_DQ[3] ; SW[16]                ; 11.895 ; 11.750 ; Rise       ; SW[16]                ;
6132
;  SRAM_DQ[4] ; SW[16]                ; 12.545 ; 12.400 ; Rise       ; SW[16]                ;
6133
;  SRAM_DQ[5] ; SW[16]                ; 12.288 ; 12.143 ; Rise       ; SW[16]                ;
6134
;  SRAM_DQ[6] ; SW[16]                ; 12.288 ; 12.143 ; Rise       ; SW[16]                ;
6135
;  SRAM_DQ[7] ; SW[16]                ; 11.998 ; 11.853 ; Rise       ; SW[16]                ;
6136
; SRAM_DQ[*]  ; T80se:z80_inst|MREQ_n ; 7.281  ; 7.136  ; Rise       ; T80se:z80_inst|MREQ_n ;
6137
;  SRAM_DQ[0] ; T80se:z80_inst|MREQ_n ; 7.780  ; 7.635  ; Rise       ; T80se:z80_inst|MREQ_n ;
6138
;  SRAM_DQ[1] ; T80se:z80_inst|MREQ_n ; 7.287  ; 7.142  ; Rise       ; T80se:z80_inst|MREQ_n ;
6139
;  SRAM_DQ[2] ; T80se:z80_inst|MREQ_n ; 7.281  ; 7.136  ; Rise       ; T80se:z80_inst|MREQ_n ;
6140
;  SRAM_DQ[3] ; T80se:z80_inst|MREQ_n ; 7.281  ; 7.136  ; Rise       ; T80se:z80_inst|MREQ_n ;
6141
;  SRAM_DQ[4] ; T80se:z80_inst|MREQ_n ; 7.931  ; 7.786  ; Rise       ; T80se:z80_inst|MREQ_n ;
6142
;  SRAM_DQ[5] ; T80se:z80_inst|MREQ_n ; 7.674  ; 7.529  ; Rise       ; T80se:z80_inst|MREQ_n ;
6143
;  SRAM_DQ[6] ; T80se:z80_inst|MREQ_n ; 7.674  ; 7.529  ; Rise       ; T80se:z80_inst|MREQ_n ;
6144
;  SRAM_DQ[7] ; T80se:z80_inst|MREQ_n ; 7.384  ; 7.239  ; Rise       ; T80se:z80_inst|MREQ_n ;
6145
+-------------+-----------------------+--------+--------+------------+-----------------------+
6146
 
6147
 
6148
+--------------------------------------------------------------------------------------------+
6149
; Minimum Output Enable Times                                                                ;
6150
+-------------+-----------------------+--------+--------+------------+-----------------------+
6151
; Data Port   ; Clock Port            ; Rise   ; Fall   ; Clock Edge ; Clock Reference       ;
6152
+-------------+-----------------------+--------+--------+------------+-----------------------+
6153
; SRAM_DQ[*]  ; SW[16]                ; 10.281 ; 10.136 ; Rise       ; SW[16]                ;
6154
;  SRAM_DQ[0] ; SW[16]                ; 10.760 ; 10.615 ; Rise       ; SW[16]                ;
6155
;  SRAM_DQ[1] ; SW[16]                ; 10.286 ; 10.141 ; Rise       ; SW[16]                ;
6156
;  SRAM_DQ[2] ; SW[16]                ; 10.281 ; 10.136 ; Rise       ; SW[16]                ;
6157
;  SRAM_DQ[3] ; SW[16]                ; 10.281 ; 10.136 ; Rise       ; SW[16]                ;
6158
;  SRAM_DQ[4] ; SW[16]                ; 10.905 ; 10.760 ; Rise       ; SW[16]                ;
6159
;  SRAM_DQ[5] ; SW[16]                ; 10.658 ; 10.513 ; Rise       ; SW[16]                ;
6160
;  SRAM_DQ[6] ; SW[16]                ; 10.658 ; 10.513 ; Rise       ; SW[16]                ;
6161
;  SRAM_DQ[7] ; SW[16]                ; 10.379 ; 10.234 ; Rise       ; SW[16]                ;
6162
; SRAM_DQ[*]  ; T80se:z80_inst|MREQ_n ; 6.977  ; 6.832  ; Rise       ; T80se:z80_inst|MREQ_n ;
6163
;  SRAM_DQ[0] ; T80se:z80_inst|MREQ_n ; 7.456  ; 7.311  ; Rise       ; T80se:z80_inst|MREQ_n ;
6164
;  SRAM_DQ[1] ; T80se:z80_inst|MREQ_n ; 6.982  ; 6.837  ; Rise       ; T80se:z80_inst|MREQ_n ;
6165
;  SRAM_DQ[2] ; T80se:z80_inst|MREQ_n ; 6.977  ; 6.832  ; Rise       ; T80se:z80_inst|MREQ_n ;
6166
;  SRAM_DQ[3] ; T80se:z80_inst|MREQ_n ; 6.977  ; 6.832  ; Rise       ; T80se:z80_inst|MREQ_n ;
6167
;  SRAM_DQ[4] ; T80se:z80_inst|MREQ_n ; 7.601  ; 7.456  ; Rise       ; T80se:z80_inst|MREQ_n ;
6168
;  SRAM_DQ[5] ; T80se:z80_inst|MREQ_n ; 7.354  ; 7.209  ; Rise       ; T80se:z80_inst|MREQ_n ;
6169
;  SRAM_DQ[6] ; T80se:z80_inst|MREQ_n ; 7.354  ; 7.209  ; Rise       ; T80se:z80_inst|MREQ_n ;
6170
;  SRAM_DQ[7] ; T80se:z80_inst|MREQ_n ; 7.075  ; 6.930  ; Rise       ; T80se:z80_inst|MREQ_n ;
6171
+-------------+-----------------------+--------+--------+------------+-----------------------+
6172
 
6173
 
6174
+--------------------------------------------------------------------------------------------------+
6175
; Output Disable Times                                                                             ;
6176
+-------------+-----------------------+-----------+-----------+------------+-----------------------+
6177
; Data Port   ; Clock Port            ; 0 to Hi-Z ; 1 to Hi-Z ; Clock Edge ; Clock Reference       ;
6178
+-------------+-----------------------+-----------+-----------+------------+-----------------------+
6179
; SRAM_DQ[*]  ; SW[16]                ; 11.763    ; 11.908    ; Rise       ; SW[16]                ;
6180
;  SRAM_DQ[0] ; SW[16]                ; 12.207    ; 12.352    ; Rise       ; SW[16]                ;
6181
;  SRAM_DQ[1] ; SW[16]                ; 11.769    ; 11.914    ; Rise       ; SW[16]                ;
6182
;  SRAM_DQ[2] ; SW[16]                ; 11.763    ; 11.908    ; Rise       ; SW[16]                ;
6183
;  SRAM_DQ[3] ; SW[16]                ; 11.763    ; 11.908    ; Rise       ; SW[16]                ;
6184
;  SRAM_DQ[4] ; SW[16]                ; 12.334    ; 12.479    ; Rise       ; SW[16]                ;
6185
;  SRAM_DQ[5] ; SW[16]                ; 12.094    ; 12.239    ; Rise       ; SW[16]                ;
6186
;  SRAM_DQ[6] ; SW[16]                ; 12.094    ; 12.239    ; Rise       ; SW[16]                ;
6187
;  SRAM_DQ[7] ; SW[16]                ; 11.805    ; 11.950    ; Rise       ; SW[16]                ;
6188
; SRAM_DQ[*]  ; T80se:z80_inst|MREQ_n ; 7.141     ; 7.286     ; Fall       ; T80se:z80_inst|MREQ_n ;
6189
;  SRAM_DQ[0] ; T80se:z80_inst|MREQ_n ; 7.585     ; 7.730     ; Fall       ; T80se:z80_inst|MREQ_n ;
6190
;  SRAM_DQ[1] ; T80se:z80_inst|MREQ_n ; 7.147     ; 7.292     ; Fall       ; T80se:z80_inst|MREQ_n ;
6191
;  SRAM_DQ[2] ; T80se:z80_inst|MREQ_n ; 7.141     ; 7.286     ; Fall       ; T80se:z80_inst|MREQ_n ;
6192
;  SRAM_DQ[3] ; T80se:z80_inst|MREQ_n ; 7.141     ; 7.286     ; Fall       ; T80se:z80_inst|MREQ_n ;
6193
;  SRAM_DQ[4] ; T80se:z80_inst|MREQ_n ; 7.712     ; 7.857     ; Fall       ; T80se:z80_inst|MREQ_n ;
6194
;  SRAM_DQ[5] ; T80se:z80_inst|MREQ_n ; 7.472     ; 7.617     ; Fall       ; T80se:z80_inst|MREQ_n ;
6195
;  SRAM_DQ[6] ; T80se:z80_inst|MREQ_n ; 7.472     ; 7.617     ; Fall       ; T80se:z80_inst|MREQ_n ;
6196
;  SRAM_DQ[7] ; T80se:z80_inst|MREQ_n ; 7.183     ; 7.328     ; Fall       ; T80se:z80_inst|MREQ_n ;
6197
+-------------+-----------------------+-----------+-----------+------------+-----------------------+
6198
 
6199
 
6200
+--------------------------------------------------------------------------------------------------+
6201
; Minimum Output Disable Times                                                                     ;
6202
+-------------+-----------------------+-----------+-----------+------------+-----------------------+
6203
; Data Port   ; Clock Port            ; 0 to Hi-Z ; 1 to Hi-Z ; Clock Edge ; Clock Reference       ;
6204
+-------------+-----------------------+-----------+-----------+------------+-----------------------+
6205
; SRAM_DQ[*]  ; SW[16]                ; 10.204    ; 10.349    ; Rise       ; SW[16]                ;
6206
;  SRAM_DQ[0] ; SW[16]                ; 10.631    ; 10.776    ; Rise       ; SW[16]                ;
6207
;  SRAM_DQ[1] ; SW[16]                ; 10.209    ; 10.354    ; Rise       ; SW[16]                ;
6208
;  SRAM_DQ[2] ; SW[16]                ; 10.204    ; 10.349    ; Rise       ; SW[16]                ;
6209
;  SRAM_DQ[3] ; SW[16]                ; 10.204    ; 10.349    ; Rise       ; SW[16]                ;
6210
;  SRAM_DQ[4] ; SW[16]                ; 10.752    ; 10.897    ; Rise       ; SW[16]                ;
6211
;  SRAM_DQ[5] ; SW[16]                ; 10.522    ; 10.667    ; Rise       ; SW[16]                ;
6212
;  SRAM_DQ[6] ; SW[16]                ; 10.522    ; 10.667    ; Rise       ; SW[16]                ;
6213
;  SRAM_DQ[7] ; SW[16]                ; 10.244    ; 10.389    ; Rise       ; SW[16]                ;
6214
; SRAM_DQ[*]  ; T80se:z80_inst|MREQ_n ; 6.837     ; 6.982     ; Fall       ; T80se:z80_inst|MREQ_n ;
6215
;  SRAM_DQ[0] ; T80se:z80_inst|MREQ_n ; 7.264     ; 7.409     ; Fall       ; T80se:z80_inst|MREQ_n ;
6216
;  SRAM_DQ[1] ; T80se:z80_inst|MREQ_n ; 6.842     ; 6.987     ; Fall       ; T80se:z80_inst|MREQ_n ;
6217
;  SRAM_DQ[2] ; T80se:z80_inst|MREQ_n ; 6.837     ; 6.982     ; Fall       ; T80se:z80_inst|MREQ_n ;
6218
;  SRAM_DQ[3] ; T80se:z80_inst|MREQ_n ; 6.837     ; 6.982     ; Fall       ; T80se:z80_inst|MREQ_n ;
6219
;  SRAM_DQ[4] ; T80se:z80_inst|MREQ_n ; 7.385     ; 7.530     ; Fall       ; T80se:z80_inst|MREQ_n ;
6220
;  SRAM_DQ[5] ; T80se:z80_inst|MREQ_n ; 7.155     ; 7.300     ; Fall       ; T80se:z80_inst|MREQ_n ;
6221
;  SRAM_DQ[6] ; T80se:z80_inst|MREQ_n ; 7.155     ; 7.300     ; Fall       ; T80se:z80_inst|MREQ_n ;
6222
;  SRAM_DQ[7] ; T80se:z80_inst|MREQ_n ; 6.877     ; 7.022     ; Fall       ; T80se:z80_inst|MREQ_n ;
6223
+-------------+-----------------------+-----------+-----------+------------+-----------------------+
6224
 
6225
 
6226
---------------------------------------------
6227
; Slow 1200mV 0C Model Metastability Report ;
6228
---------------------------------------------
6229
No synchronizer chains to report.
6230
 
6231
 
6232
+--------------------------------------------------------------------------------------+
6233
; Fast 1200mV 0C Model Setup Summary                                                   ;
6234
+-------------------------------------------------------------+--------+---------------+
6235
; Clock                                                       ; Slack  ; End Point TNS ;
6236
+-------------------------------------------------------------+--------+---------------+
6237
; LCD:lcd_inst|clk_400hz_enable                               ; -6.129 ; -46.157       ;
6238
; SW[16]                                                      ; -5.861 ; -1703.860     ;
6239
; CLOCK_50                                                    ; -3.425 ; -58.119       ;
6240
; clk_div:clkdiv_inst|clock_100Hz                             ; -2.263 ; -4.526        ;
6241
; clk_div:clkdiv_inst|clock_25MHz                             ; -2.065 ; -71.920       ;
6242
; T80se:z80_inst|MREQ_n                                       ; -0.791 ; -73.474       ;
6243
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; -0.602 ; -6.551        ;
6244
; clk_div:clkdiv_inst|clock_25Mhz_int                         ; 0.090  ; 0.000         ;
6245
; clk_div:clkdiv_inst|clock_100Khz_int                        ; 0.108  ; 0.000         ;
6246
; clk_div:clkdiv_inst|clock_1Mhz_int                          ; 0.158  ; 0.000         ;
6247
; clk_div:clkdiv_inst|clock_10Khz_int                         ; 0.230  ; 0.000         ;
6248
; clk_div:clkdiv_inst|clock_1Khz_int                          ; 0.428  ; 0.000         ;
6249
+-------------------------------------------------------------+--------+---------------+
6250
 
6251
 
6252
+--------------------------------------------------------------------------------------+
6253
; Fast 1200mV 0C Model Hold Summary                                                    ;
6254
+-------------------------------------------------------------+--------+---------------+
6255
; Clock                                                       ; Slack  ; End Point TNS ;
6256
+-------------------------------------------------------------+--------+---------------+
6257
; SW[16]                                                      ; -1.533 ; -67.021       ;
6258
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; -0.426 ; -0.426        ;
6259
; CLOCK_50                                                    ; -0.335 ; -2.123        ;
6260
; T80se:z80_inst|MREQ_n                                       ; -0.248 ; -1.794        ;
6261
; clk_div:clkdiv_inst|clock_100Khz_int                        ; -0.008 ; -0.008        ;
6262
; clk_div:clkdiv_inst|clock_10Khz_int                         ; 0.010  ; 0.000         ;
6263
; clk_div:clkdiv_inst|clock_1Mhz_int                          ; 0.061  ; 0.000         ;
6264
; clk_div:clkdiv_inst|clock_25MHz                             ; 0.140  ; 0.000         ;
6265
; clk_div:clkdiv_inst|clock_1Khz_int                          ; 0.201  ; 0.000         ;
6266
; clk_div:clkdiv_inst|clock_100Hz                             ; 0.210  ; 0.000         ;
6267
; clk_div:clkdiv_inst|clock_25Mhz_int                         ; 0.214  ; 0.000         ;
6268
; LCD:lcd_inst|clk_400hz_enable                               ; 1.167  ; 0.000         ;
6269
+-------------------------------------------------------------+--------+---------------+
6270
 
6271
 
6272
+--------------------------------------------------------------------------+
6273
; Fast 1200mV 0C Model Recovery Summary                                    ;
6274
+-------------------------------------------------+--------+---------------+
6275
; Clock                                           ; Slack  ; End Point TNS ;
6276
+-------------------------------------------------+--------+---------------+
6277
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set ; -0.904 ; -0.904        ;
6278
+-------------------------------------------------+--------+---------------+
6279
 
6280
 
6281
+-------------------------------------------------------------------------+
6282
; Fast 1200mV 0C Model Removal Summary                                    ;
6283
+-------------------------------------------------+-------+---------------+
6284
; Clock                                           ; Slack ; End Point TNS ;
6285
+-------------------------------------------------+-------+---------------+
6286
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set ; 1.565 ; 0.000         ;
6287
+-------------------------------------------------+-------+---------------+
6288
 
6289
 
6290
+--------------------------------------------------------------------------------------+
6291
; Fast 1200mV 0C Model Minimum Pulse Width Summary                                     ;
6292
+-------------------------------------------------------------+--------+---------------+
6293
; Clock                                                       ; Slack  ; End Point TNS ;
6294
+-------------------------------------------------------------+--------+---------------+
6295
; SW[16]                                                      ; -3.000 ; -864.856      ;
6296
; CLOCK_50                                                    ; -3.000 ; -141.504      ;
6297
; clk_div:clkdiv_inst|clock_25MHz                             ; -1.000 ; -93.000       ;
6298
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; -1.000 ; -23.000       ;
6299
; LCD:lcd_inst|clk_400hz_enable                               ; -1.000 ; -8.000        ;
6300
; clk_div:clkdiv_inst|clock_25Mhz_int                         ; -1.000 ; -6.000        ;
6301
; clk_div:clkdiv_inst|clock_100Khz_int                        ; -1.000 ; -4.000        ;
6302
; clk_div:clkdiv_inst|clock_10Khz_int                         ; -1.000 ; -4.000        ;
6303
; clk_div:clkdiv_inst|clock_1Khz_int                          ; -1.000 ; -4.000        ;
6304
; clk_div:clkdiv_inst|clock_1Mhz_int                          ; -1.000 ; -4.000        ;
6305
; clk_div:clkdiv_inst|clock_100Hz                             ; -1.000 ; -2.000        ;
6306
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set             ; -1.000 ; -1.000        ;
6307
; T80se:z80_inst|MREQ_n                                       ; -0.120 ; -9.204        ;
6308
+-------------------------------------------------------------+--------+---------------+
6309
 
6310
 
6311
+---------------------------------------------------------------------------------------------------------------------------------------------+
6312
; Fast 1200mV 0C Model Setup: 'LCD:lcd_inst|clk_400hz_enable'                                                                                 ;
6313
+--------+----------------+------------------+-----------------------+-------------------------------+--------------+------------+------------+
6314
; Slack  ; From Node      ; To Node          ; Launch Clock          ; Latch Clock                   ; Relationship ; Clock Skew ; Data Delay ;
6315
+--------+----------------+------------------+-----------------------+-------------------------------+--------------+------------+------------+
6316
; -6.129 ; lcdvram[28][0] ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.522     ; 2.104      ;
6317
; -6.072 ; lcdvram[31][0] ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.836     ; 1.733      ;
6318
; -5.950 ; lcdvram[31][5] ; next_char_sig[5] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.792     ; 1.655      ;
6319
; -5.934 ; lcdvram[19][0] ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.806     ; 1.625      ;
6320
; -5.907 ; lcdvram[20][3] ; next_char_sig[3] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.644     ; 1.760      ;
6321
; -5.840 ; lcdvram[30][0] ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.302     ; 2.035      ;
6322
; -5.812 ; lcdvram[22][0] ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.262     ; 2.047      ;
6323
; -5.767 ; lcdvram[31][2] ; next_char_sig[2] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.812     ; 1.452      ;
6324
; -5.712 ; lcdvram[19][1] ; next_char_sig[1] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.766     ; 1.443      ;
6325
; -5.707 ; lcdvram[22][5] ; next_char_sig[5] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.216     ; 1.988      ;
6326
; -5.703 ; lcdvram[6][0]  ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.162     ; 2.038      ;
6327
; -5.679 ; lcdvram[10][7] ; next_char_sig[7] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -3.858     ; 2.318      ;
6328
; -5.676 ; lcdvram[22][3] ; next_char_sig[3] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.237     ; 1.936      ;
6329
; -5.652 ; lcdvram[19][3] ; next_char_sig[3] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.723     ; 1.426      ;
6330
; -5.649 ; lcdvram[16][0] ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.785     ; 1.361      ;
6331
; -5.644 ; lcdvram[16][3] ; next_char_sig[3] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.850     ; 1.291      ;
6332
; -5.635 ; lcdvram[17][0] ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.698     ; 1.434      ;
6333
; -5.626 ; lcdvram[17][2] ; next_char_sig[2] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.714     ; 1.409      ;
6334
; -5.614 ; lcdvram[17][7] ; next_char_sig[7] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.713     ; 1.398      ;
6335
; -5.608 ; lcdvram[19][7] ; next_char_sig[7] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.817     ; 1.288      ;
6336
; -5.607 ; lcdvram[16][5] ; next_char_sig[5] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.803     ; 1.301      ;
6337
; -5.601 ; lcdvram[27][3] ; next_char_sig[3] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.688     ; 1.410      ;
6338
; -5.579 ; lcdvram[0][5]  ; next_char_sig[5] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.156     ; 1.920      ;
6339
; -5.574 ; lcdvram[3][7]  ; next_char_sig[7] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -3.810     ; 2.261      ;
6340
; -5.571 ; lcdvram[28][3] ; next_char_sig[3] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.569     ; 1.499      ;
6341
; -5.568 ; lcdvram[20][0] ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.635     ; 1.430      ;
6342
; -5.567 ; lcdvram[18][1] ; next_char_sig[1] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.402     ; 1.662      ;
6343
; -5.562 ; lcdvram[18][2] ; next_char_sig[2] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.533     ; 1.526      ;
6344
; -5.561 ; lcdvram[28][7] ; next_char_sig[7] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.498     ; 1.560      ;
6345
; -5.559 ; lcdvram[17][1] ; next_char_sig[1] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.652     ; 1.404      ;
6346
; -5.555 ; lcdvram[27][1] ; next_char_sig[1] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.641     ; 1.411      ;
6347
; -5.553 ; lcdvram[19][6] ; next_char_sig[6] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.771     ; 1.279      ;
6348
; -5.552 ; lcdvram[17][5] ; next_char_sig[5] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.659     ; 1.390      ;
6349
; -5.545 ; lcdvram[6][6]  ; next_char_sig[6] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.006     ; 2.036      ;
6350
; -5.534 ; lcdvram[16][2] ; next_char_sig[2] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.790     ; 1.241      ;
6351
; -5.529 ; lcdvram[23][0] ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.279     ; 1.747      ;
6352
; -5.524 ; lcdvram[19][5] ; next_char_sig[5] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.662     ; 1.359      ;
6353
; -5.522 ; lcdvram[25][0] ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.620     ; 1.399      ;
6354
; -5.517 ; lcdvram[20][7] ; next_char_sig[7] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.576     ; 1.438      ;
6355
; -5.497 ; lcdvram[6][2]  ; next_char_sig[2] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.079     ; 1.915      ;
6356
; -5.494 ; lcdvram[20][5] ; next_char_sig[5] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.579     ; 1.412      ;
6357
; -5.490 ; lcdvram[24][0] ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.702     ; 1.285      ;
6358
; -5.478 ; lcdvram[23][6] ; next_char_sig[6] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.261     ; 1.714      ;
6359
; -5.460 ; lcdvram[6][4]  ; next_char_sig[4] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.255     ; 1.702      ;
6360
; -5.457 ; lcdvram[4][0]  ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -3.889     ; 2.065      ;
6361
; -5.456 ; lcdvram[24][7] ; next_char_sig[7] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.640     ; 1.313      ;
6362
; -5.455 ; lcdvram[27][7] ; next_char_sig[7] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.692     ; 1.260      ;
6363
; -5.453 ; lcdvram[28][6] ; next_char_sig[6] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.453     ; 1.497      ;
6364
; -5.449 ; lcdvram[17][6] ; next_char_sig[6] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.684     ; 1.262      ;
6365
; -5.444 ; lcdvram[22][1] ; next_char_sig[1] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.140     ; 1.801      ;
6366
; -5.443 ; lcdvram[1][4]  ; next_char_sig[4] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -3.740     ; 2.200      ;
6367
; -5.433 ; lcdvram[16][1] ; next_char_sig[1] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.794     ; 1.136      ;
6368
; -5.431 ; lcdvram[17][3] ; next_char_sig[3] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.688     ; 1.240      ;
6369
; -5.428 ; lcdvram[31][1] ; next_char_sig[1] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.771     ; 1.154      ;
6370
; -5.426 ; lcdvram[30][2] ; next_char_sig[2] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.309     ; 1.614      ;
6371
; -5.424 ; lcdvram[1][6]  ; next_char_sig[6] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -3.839     ; 2.082      ;
6372
; -5.388 ; lcdvram[27][5] ; next_char_sig[5] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.684     ; 1.201      ;
6373
; -5.384 ; lcdvram[18][0] ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.519     ; 1.362      ;
6374
; -5.379 ; lcdvram[16][6] ; next_char_sig[6] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.750     ; 1.126      ;
6375
; -5.369 ; lcdvram[20][6] ; next_char_sig[6] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.622     ; 1.244      ;
6376
; -5.362 ; lcdvram[18][5] ; next_char_sig[5] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.472     ; 1.387      ;
6377
; -5.357 ; lcdvram[25][7] ; next_char_sig[7] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.622     ; 1.232      ;
6378
; -5.353 ; lcdvram[19][2] ; next_char_sig[2] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.745     ; 1.105      ;
6379
; -5.353 ; lcdvram[31][7] ; next_char_sig[7] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.823     ; 1.027      ;
6380
; -5.348 ; lcdvram[0][0]  ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -3.926     ; 1.919      ;
6381
; -5.346 ; lcdvram[18][3] ; next_char_sig[3] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.457     ; 1.386      ;
6382
; -5.344 ; lcdvram[24][6] ; next_char_sig[6] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.666     ; 1.175      ;
6383
; -5.343 ; lcdvram[16][7] ; next_char_sig[7] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.786     ; 1.054      ;
6384
; -5.338 ; lcdvram[24][5] ; next_char_sig[5] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.549     ; 1.286      ;
6385
; -5.337 ; lcdvram[21][7] ; next_char_sig[7] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.442     ; 1.392      ;
6386
; -5.332 ; lcdvram[25][1] ; next_char_sig[1] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.582     ; 1.247      ;
6387
; -5.317 ; lcdvram[28][2] ; next_char_sig[2] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.573     ; 1.241      ;
6388
; -5.307 ; lcdvram[31][6] ; next_char_sig[6] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.776     ; 1.028      ;
6389
; -5.305 ; lcdvram[4][6]  ; next_char_sig[6] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -3.854     ; 1.948      ;
6390
; -5.304 ; lcdvram[21][2] ; next_char_sig[2] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.431     ; 1.370      ;
6391
; -5.300 ; lcdvram[27][2] ; next_char_sig[2] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.680     ; 1.117      ;
6392
; -5.299 ; lcdvram[20][1] ; next_char_sig[1] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.494     ; 1.302      ;
6393
; -5.292 ; lcdvram[29][1] ; next_char_sig[1] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.083     ; 1.706      ;
6394
; -5.289 ; lcdvram[25][3] ; next_char_sig[3] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.706     ; 1.080      ;
6395
; -5.288 ; lcdvram[25][6] ; next_char_sig[6] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.586     ; 1.199      ;
6396
; -5.286 ; lcdvram[2][7]  ; next_char_sig[7] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -3.680     ; 2.103      ;
6397
; -5.280 ; lcdvram[17][4] ; next_char_sig[4] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.529     ; 1.248      ;
6398
; -5.274 ; lcdvram[7][2]  ; next_char_sig[2] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -3.850     ; 1.921      ;
6399
; -5.269 ; lcdvram[27][6] ; next_char_sig[6] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.646     ; 1.120      ;
6400
; -5.246 ; lcdvram[22][6] ; next_char_sig[6] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.192     ; 1.551      ;
6401
; -5.239 ; lcdvram[24][4] ; next_char_sig[4] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.528     ; 1.208      ;
6402
; -5.236 ; lcdvram[26][7] ; next_char_sig[7] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.258     ; 1.475      ;
6403
; -5.236 ; lcdvram[20][2] ; next_char_sig[2] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.575     ; 1.158      ;
6404
; -5.224 ; lcdvram[25][2] ; next_char_sig[2] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.718     ; 1.003      ;
6405
; -5.218 ; lcdvram[30][1] ; next_char_sig[1] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.211     ; 1.504      ;
6406
; -5.203 ; lcdvram[26][0] ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.346     ; 1.354      ;
6407
; -5.201 ; lcdvram[21][0] ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.506     ; 1.192      ;
6408
; -5.195 ; lcdvram[0][1]  ; next_char_sig[1] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -3.750     ; 1.942      ;
6409
; -5.191 ; lcdvram[27][0] ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.694     ; 0.994      ;
6410
; -5.188 ; lcdvram[4][2]  ; next_char_sig[2] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -3.902     ; 1.783      ;
6411
; -5.186 ; lcdvram[23][7] ; next_char_sig[7] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.285     ; 1.398      ;
6412
; -5.185 ; lcdvram[24][2] ; next_char_sig[2] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.629     ; 1.053      ;
6413
; -5.181 ; lcdvram[31][4] ; next_char_sig[4] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -4.633     ; 1.045      ;
6414
; -5.179 ; lcdvram[8][7]  ; next_char_sig[7] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -3.732     ; 1.944      ;
6415
; -5.179 ; lcdvram[7][5]  ; next_char_sig[5] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; 0.500        ; -3.795     ; 1.881      ;
6416
+--------+----------------+------------------+-----------------------+-------------------------------+--------------+------------+------------+
6417
 
6418
 
6419
+-----------------------------------------------------------------------------------------------------------------------------------------------------------------+
6420
; Fast 1200mV 0C Model Setup: 'SW[16]'                                                                                                                            ;
6421
+--------+---------------------------------+------------------------------------------------+--------------+-------------+--------------+------------+------------+
6422
; Slack  ; From Node                       ; To Node                                        ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
6423
+--------+---------------------------------+------------------------------------------------+--------------+-------------+--------------+------------+------------+
6424
; -5.861 ; T80se:z80_inst|T80:u0|IR[6]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.049     ; 6.799      ;
6425
; -5.857 ; T80se:z80_inst|T80:u0|IR[6]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.049     ; 6.795      ;
6426
; -5.854 ; T80se:z80_inst|T80:u0|IR[6]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.045     ; 6.796      ;
6427
; -5.852 ; T80se:z80_inst|T80:u0|IR[6]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.045     ; 6.794      ;
6428
; -5.835 ; T80se:z80_inst|T80:u0|IR[5]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.049     ; 6.773      ;
6429
; -5.831 ; T80se:z80_inst|T80:u0|IR[5]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.049     ; 6.769      ;
6430
; -5.828 ; T80se:z80_inst|T80:u0|IR[5]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.045     ; 6.770      ;
6431
; -5.826 ; T80se:z80_inst|T80:u0|IR[5]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.045     ; 6.768      ;
6432
; -5.820 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|A[14]                    ; SW[16]       ; SW[16]      ; 1.000        ; -1.503     ; 5.304      ;
6433
; -5.792 ; T80se:z80_inst|T80:u0|IR[7]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.069     ; 6.710      ;
6434
; -5.788 ; T80se:z80_inst|T80:u0|IR[7]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.069     ; 6.706      ;
6435
; -5.788 ; T80se:z80_inst|T80:u0|IR[6]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][6] ; SW[16]       ; SW[16]      ; 1.000        ; -0.046     ; 6.729      ;
6436
; -5.785 ; T80se:z80_inst|T80:u0|IR[7]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.065     ; 6.707      ;
6437
; -5.785 ; T80se:z80_inst|T80:u0|IR[1]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.069     ; 6.703      ;
6438
; -5.783 ; T80se:z80_inst|T80:u0|IR[7]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.065     ; 6.705      ;
6439
; -5.781 ; T80se:z80_inst|T80:u0|IR[1]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.069     ; 6.699      ;
6440
; -5.779 ; T80se:z80_inst|T80:u0|IR[0]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.067     ; 6.699      ;
6441
; -5.779 ; T80se:z80_inst|T80:u0|MCycle[2] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.069     ; 6.697      ;
6442
; -5.778 ; T80se:z80_inst|T80:u0|IR[1]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.065     ; 6.700      ;
6443
; -5.776 ; T80se:z80_inst|T80:u0|IR[1]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.065     ; 6.698      ;
6444
; -5.775 ; T80se:z80_inst|T80:u0|IR[0]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.067     ; 6.695      ;
6445
; -5.775 ; T80se:z80_inst|T80:u0|MCycle[2] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.069     ; 6.693      ;
6446
; -5.772 ; T80se:z80_inst|T80:u0|IR[0]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.063     ; 6.696      ;
6447
; -5.772 ; T80se:z80_inst|T80:u0|MCycle[2] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.065     ; 6.694      ;
6448
; -5.770 ; T80se:z80_inst|T80:u0|IR[0]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.063     ; 6.694      ;
6449
; -5.770 ; T80se:z80_inst|T80:u0|MCycle[2] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.065     ; 6.692      ;
6450
; -5.768 ; T80se:z80_inst|T80:u0|MCycle[1] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.069     ; 6.686      ;
6451
; -5.766 ; T80se:z80_inst|T80:u0|IR[2]     ; T80se:z80_inst|T80:u0|A[14]                    ; SW[16]       ; SW[16]      ; 1.000        ; -1.508     ; 5.245      ;
6452
; -5.764 ; T80se:z80_inst|T80:u0|MCycle[1] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.069     ; 6.682      ;
6453
; -5.762 ; T80se:z80_inst|T80:u0|IR[5]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][6] ; SW[16]       ; SW[16]      ; 1.000        ; -0.046     ; 6.703      ;
6454
; -5.761 ; T80se:z80_inst|T80:u0|MCycle[1] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.065     ; 6.683      ;
6455
; -5.760 ; T80se:z80_inst|T80:u0|MCycle[0] ; T80se:z80_inst|T80:u0|A[14]                    ; SW[16]       ; SW[16]      ; 1.000        ; -1.509     ; 5.238      ;
6456
; -5.760 ; T80se:z80_inst|T80:u0|IR[6]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][4] ; SW[16]       ; SW[16]      ; 1.000        ; -0.048     ; 6.699      ;
6457
; -5.760 ; T80se:z80_inst|T80:u0|IR[6]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][4] ; SW[16]       ; SW[16]      ; 1.000        ; -0.048     ; 6.699      ;
6458
; -5.759 ; T80se:z80_inst|T80:u0|MCycle[1] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.065     ; 6.681      ;
6459
; -5.757 ; T80se:z80_inst|T80:u0|IR[6]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][6] ; SW[16]       ; SW[16]      ; 1.000        ; -0.048     ; 6.696      ;
6460
; -5.754 ; T80se:z80_inst|T80:u0|IR[6]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][6] ; SW[16]       ; SW[16]      ; 1.000        ; -0.048     ; 6.693      ;
6461
; -5.751 ; T80se:z80_inst|T80:u0|IR[1]     ; T80se:z80_inst|T80:u0|A[14]                    ; SW[16]       ; SW[16]      ; 1.000        ; -1.509     ; 5.229      ;
6462
; -5.743 ; T80se:z80_inst|T80:u0|IR[2]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.068     ; 6.662      ;
6463
; -5.739 ; T80se:z80_inst|T80:u0|IR[2]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.068     ; 6.658      ;
6464
; -5.738 ; T80se:z80_inst|T80:u0|MCycle[0] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[7][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.069     ; 6.656      ;
6465
; -5.737 ; T80se:z80_inst|T80:u0|IR[6]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.044     ; 6.680      ;
6466
; -5.736 ; T80se:z80_inst|T80:u0|IR[2]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.064     ; 6.659      ;
6467
; -5.734 ; T80se:z80_inst|T80:u0|MCycle[0] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.069     ; 6.652      ;
6468
; -5.734 ; T80se:z80_inst|T80:u0|IR[2]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.064     ; 6.657      ;
6469
; -5.734 ; T80se:z80_inst|T80:u0|IR[5]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][4] ; SW[16]       ; SW[16]      ; 1.000        ; -0.048     ; 6.673      ;
6470
; -5.734 ; T80se:z80_inst|T80:u0|IR[5]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][4] ; SW[16]       ; SW[16]      ; 1.000        ; -0.048     ; 6.673      ;
6471
; -5.731 ; T80se:z80_inst|T80:u0|MCycle[0] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.065     ; 6.653      ;
6472
; -5.731 ; T80se:z80_inst|T80:u0|IR[5]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][6] ; SW[16]       ; SW[16]      ; 1.000        ; -0.048     ; 6.670      ;
6473
; -5.729 ; T80se:z80_inst|T80:u0|MCycle[0] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.065     ; 6.651      ;
6474
; -5.728 ; T80se:z80_inst|T80:u0|IR[5]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][6] ; SW[16]       ; SW[16]      ; 1.000        ; -0.048     ; 6.667      ;
6475
; -5.719 ; T80se:z80_inst|T80:u0|IR[7]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][6] ; SW[16]       ; SW[16]      ; 1.000        ; -0.066     ; 6.640      ;
6476
; -5.712 ; T80se:z80_inst|T80:u0|IR[1]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][6] ; SW[16]       ; SW[16]      ; 1.000        ; -0.066     ; 6.633      ;
6477
; -5.711 ; T80se:z80_inst|T80:u0|IR[6]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][6] ; SW[16]       ; SW[16]      ; 1.000        ; -0.045     ; 6.653      ;
6478
; -5.711 ; T80se:z80_inst|T80:u0|IR[5]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.044     ; 6.654      ;
6479
; -5.707 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|A[9]                     ; SW[16]       ; SW[16]      ; 1.000        ; -1.495     ; 5.199      ;
6480
; -5.706 ; T80se:z80_inst|T80:u0|IR[0]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][6] ; SW[16]       ; SW[16]      ; 1.000        ; -0.064     ; 6.629      ;
6481
; -5.706 ; T80se:z80_inst|T80:u0|MCycle[2] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][6] ; SW[16]       ; SW[16]      ; 1.000        ; -0.066     ; 6.627      ;
6482
; -5.703 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|A[8]                     ; SW[16]       ; SW[16]      ; 1.000        ; -1.495     ; 5.195      ;
6483
; -5.699 ; T80se:z80_inst|T80:u0|IR[4]     ; T80se:z80_inst|T80:u0|A[15]                    ; SW[16]       ; SW[16]      ; 1.000        ; -1.503     ; 5.183      ;
6484
; -5.699 ; T80se:z80_inst|T80:u0|F[2]      ; T80se:z80_inst|T80:u0|A[14]                    ; SW[16]       ; SW[16]      ; 1.000        ; -1.503     ; 5.183      ;
6485
; -5.695 ; T80se:z80_inst|T80:u0|MCycle[1] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][6] ; SW[16]       ; SW[16]      ; 1.000        ; -0.066     ; 6.616      ;
6486
; -5.694 ; T80se:z80_inst|T80:u0|F[0]      ; T80se:z80_inst|T80:u0|A[14]                    ; SW[16]       ; SW[16]      ; 1.000        ; -1.503     ; 5.178      ;
6487
; -5.692 ; T80se:z80_inst|T80:u0|IR[6]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][3] ; SW[16]       ; SW[16]      ; 1.000        ; -0.048     ; 6.631      ;
6488
; -5.691 ; T80se:z80_inst|T80:u0|IR[7]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][4] ; SW[16]       ; SW[16]      ; 1.000        ; -0.068     ; 6.610      ;
6489
; -5.691 ; T80se:z80_inst|T80:u0|IR[7]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][4] ; SW[16]       ; SW[16]      ; 1.000        ; -0.068     ; 6.610      ;
6490
; -5.691 ; T80se:z80_inst|T80:u0|IR[6]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][3] ; SW[16]       ; SW[16]      ; 1.000        ; -0.048     ; 6.630      ;
6491
; -5.689 ; T80se:z80_inst|T80:u0|F[7]      ; T80se:z80_inst|T80:u0|A[14]                    ; SW[16]       ; SW[16]      ; 1.000        ; -1.503     ; 5.173      ;
6492
; -5.688 ; T80se:z80_inst|T80:u0|IR[7]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][6] ; SW[16]       ; SW[16]      ; 1.000        ; -0.068     ; 6.607      ;
6493
; -5.685 ; T80se:z80_inst|T80:u0|IR[7]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][6] ; SW[16]       ; SW[16]      ; 1.000        ; -0.068     ; 6.604      ;
6494
; -5.685 ; T80se:z80_inst|T80:u0|IR[5]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[6][6] ; SW[16]       ; SW[16]      ; 1.000        ; -0.045     ; 6.627      ;
6495
; -5.684 ; T80se:z80_inst|T80:u0|IR[1]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][4] ; SW[16]       ; SW[16]      ; 1.000        ; -0.068     ; 6.603      ;
6496
; -5.684 ; T80se:z80_inst|T80:u0|IR[1]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][4] ; SW[16]       ; SW[16]      ; 1.000        ; -0.068     ; 6.603      ;
6497
; -5.682 ; T80se:z80_inst|T80:u0|IR[5]     ; T80se:z80_inst|T80:u0|A[14]                    ; SW[16]       ; SW[16]      ; 1.000        ; -1.503     ; 5.166      ;
6498
; -5.681 ; T80se:z80_inst|T80:u0|MCycle[2] ; T80se:z80_inst|T80:u0|A[14]                    ; SW[16]       ; SW[16]      ; 1.000        ; -1.509     ; 5.159      ;
6499
; -5.681 ; T80se:z80_inst|T80:u0|IR[1]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][6] ; SW[16]       ; SW[16]      ; 1.000        ; -0.068     ; 6.600      ;
6500
; -5.679 ; T80se:z80_inst|T80:u0|IR[6]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.049     ; 6.617      ;
6501
; -5.679 ; T80se:z80_inst|T80:u0|IR[6]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.049     ; 6.617      ;
6502
; -5.678 ; T80se:z80_inst|T80:u0|IR[1]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][6] ; SW[16]       ; SW[16]      ; 1.000        ; -0.068     ; 6.597      ;
6503
; -5.678 ; T80se:z80_inst|T80:u0|IR[0]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][4] ; SW[16]       ; SW[16]      ; 1.000        ; -0.066     ; 6.599      ;
6504
; -5.678 ; T80se:z80_inst|T80:u0|MCycle[2] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][4] ; SW[16]       ; SW[16]      ; 1.000        ; -0.068     ; 6.597      ;
6505
; -5.678 ; T80se:z80_inst|T80:u0|IR[0]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][4] ; SW[16]       ; SW[16]      ; 1.000        ; -0.066     ; 6.599      ;
6506
; -5.678 ; T80se:z80_inst|T80:u0|MCycle[2] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][4] ; SW[16]       ; SW[16]      ; 1.000        ; -0.068     ; 6.597      ;
6507
; -5.675 ; T80se:z80_inst|T80:u0|IR[0]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][6] ; SW[16]       ; SW[16]      ; 1.000        ; -0.066     ; 6.596      ;
6508
; -5.675 ; T80se:z80_inst|T80:u0|MCycle[2] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][6] ; SW[16]       ; SW[16]      ; 1.000        ; -0.068     ; 6.594      ;
6509
; -5.673 ; T80se:z80_inst|T80:u0|IR[6]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][3] ; SW[16]       ; SW[16]      ; 1.000        ; -0.048     ; 6.612      ;
6510
; -5.672 ; T80se:z80_inst|T80:u0|IR[0]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][6] ; SW[16]       ; SW[16]      ; 1.000        ; -0.066     ; 6.593      ;
6511
; -5.672 ; T80se:z80_inst|T80:u0|MCycle[2] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][6] ; SW[16]       ; SW[16]      ; 1.000        ; -0.068     ; 6.591      ;
6512
; -5.672 ; T80se:z80_inst|T80:u0|IR[6]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][1] ; SW[16]       ; SW[16]      ; 1.000        ; -0.048     ; 6.611      ;
6513
; -5.672 ; T80se:z80_inst|T80:u0|IR[6]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][5] ; SW[16]       ; SW[16]      ; 1.000        ; -0.048     ; 6.611      ;
6514
; -5.672 ; T80se:z80_inst|T80:u0|IR[6]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][3] ; SW[16]       ; SW[16]      ; 1.000        ; -0.048     ; 6.611      ;
6515
; -5.671 ; T80se:z80_inst|T80:u0|IR[6]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][5] ; SW[16]       ; SW[16]      ; 1.000        ; -0.048     ; 6.610      ;
6516
; -5.670 ; T80se:z80_inst|T80:u0|IR[2]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][6] ; SW[16]       ; SW[16]      ; 1.000        ; -0.065     ; 6.592      ;
6517
; -5.669 ; T80se:z80_inst|T80:u0|IR[6]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[5][3] ; SW[16]       ; SW[16]      ; 1.000        ; -0.046     ; 6.610      ;
6518
; -5.669 ; T80se:z80_inst|T80:u0|IR[6]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[4][3] ; SW[16]       ; SW[16]      ; 1.000        ; -0.046     ; 6.610      ;
6519
; -5.669 ; T80se:z80_inst|T80:u0|IR[6]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[1][1] ; SW[16]       ; SW[16]      ; 1.000        ; -0.048     ; 6.608      ;
6520
; -5.668 ; T80se:z80_inst|T80:u0|IR[7]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][7] ; SW[16]       ; SW[16]      ; 1.000        ; -0.064     ; 6.591      ;
6521
; -5.667 ; T80se:z80_inst|T80:u0|MCycle[1] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[0][4] ; SW[16]       ; SW[16]      ; 1.000        ; -0.068     ; 6.586      ;
6522
; -5.667 ; T80se:z80_inst|T80:u0|MCycle[1] ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[3][4] ; SW[16]       ; SW[16]      ; 1.000        ; -0.068     ; 6.586      ;
6523
; -5.666 ; T80se:z80_inst|T80:u0|IR[5]     ; T80se:z80_inst|T80:u0|T80_Reg:Regs|RegsH[2][3] ; SW[16]       ; SW[16]      ; 1.000        ; -0.048     ; 6.605      ;
6524
+--------+---------------------------------+------------------------------------------------+--------------+-------------+--------------+------------+------------+
6525
 
6526
 
6527
+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
6528
; Fast 1200mV 0C Model Setup: 'CLOCK_50'                                                                                                                                                                             ;
6529
+--------+----------------------------------------------------+---------------------------------+-------------------------------------------------------------+-------------+--------------+------------+------------+
6530
; Slack  ; From Node                                          ; To Node                         ; Launch Clock                                                ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
6531
+--------+----------------------------------------------------+---------------------------------+-------------------------------------------------------------+-------------+--------------+------------+------------+
6532
; -3.425 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2_ascii_reg1[2]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -0.797     ; 3.605      ;
6533
; -3.412 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2_ascii_reg1[2]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -0.797     ; 3.592      ;
6534
; -3.379 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2_ascii_reg1[1]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -0.806     ; 3.550      ;
6535
; -3.379 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2_ascii_reg1[6]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -0.806     ; 3.550      ;
6536
; -3.379 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2_ascii_reg1[5]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -0.806     ; 3.550      ;
6537
; -3.344 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2_ascii_reg1[1]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -0.806     ; 3.515      ;
6538
; -3.344 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2_ascii_reg1[6]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -0.806     ; 3.515      ;
6539
; -3.344 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2_ascii_reg1[5]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -0.806     ; 3.515      ;
6540
; -3.329 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2_ascii_reg1[7]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -0.806     ; 3.500      ;
6541
; -3.329 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2_ascii_reg1[3]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -0.806     ; 3.500      ;
6542
; -3.329 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2_ascii_reg1[0]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -0.806     ; 3.500      ;
6543
; -3.329 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2_ascii_reg1[4]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -0.806     ; 3.500      ;
6544
; -3.293 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2_ascii_reg1[7]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -0.806     ; 3.464      ;
6545
; -3.293 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2_ascii_reg1[3]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -0.806     ; 3.464      ;
6546
; -3.293 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2_ascii_reg1[0]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -0.806     ; 3.464      ;
6547
; -3.293 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2_ascii_reg1[4]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -0.806     ; 3.464      ;
6548
; -3.189 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2_ascii_reg1[2]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -0.797     ; 3.369      ;
6549
; -3.177 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2_ascii_reg1[2]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -0.799     ; 3.355      ;
6550
; -3.151 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2_ascii_reg1[2]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -0.797     ; 3.331      ;
6551
; -3.131 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2_ascii_reg1[1]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -0.808     ; 3.300      ;
6552
; -3.131 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2_ascii_reg1[6]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -0.808     ; 3.300      ;
6553
; -3.131 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2_ascii_reg1[5]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -0.808     ; 3.300      ;
6554
; -3.121 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2_ascii_reg1[1]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -0.806     ; 3.292      ;
6555
; -3.121 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2_ascii_reg1[6]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -0.806     ; 3.292      ;
6556
; -3.121 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2_ascii_reg1[5]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -0.806     ; 3.292      ;
6557
; -3.112 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2_ascii_reg1[2]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -0.797     ; 3.292      ;
6558
; -3.110 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2_ascii_reg1[2]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -0.796     ; 3.291      ;
6559
; -3.105 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2_ascii_reg1[1]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -0.806     ; 3.276      ;
6560
; -3.105 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2_ascii_reg1[6]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -0.806     ; 3.276      ;
6561
; -3.105 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2_ascii_reg1[5]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -0.806     ; 3.276      ;
6562
; -3.081 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2_ascii_reg1[7]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -0.808     ; 3.250      ;
6563
; -3.081 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2_ascii_reg1[3]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -0.808     ; 3.250      ;
6564
; -3.081 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2_ascii_reg1[0]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -0.808     ; 3.250      ;
6565
; -3.081 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2_ascii_reg1[4]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -0.808     ; 3.250      ;
6566
; -3.069 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2_ascii_reg1[7]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -0.806     ; 3.240      ;
6567
; -3.069 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2_ascii_reg1[3]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -0.806     ; 3.240      ;
6568
; -3.069 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2_ascii_reg1[0]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -0.806     ; 3.240      ;
6569
; -3.069 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2_ascii_reg1[4]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -0.806     ; 3.240      ;
6570
; -3.066 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2_ascii_reg1[1]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -0.806     ; 3.237      ;
6571
; -3.066 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2_ascii_reg1[6]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -0.806     ; 3.237      ;
6572
; -3.066 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2_ascii_reg1[5]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -0.806     ; 3.237      ;
6573
; -3.055 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2_ascii_reg1[7]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -0.806     ; 3.226      ;
6574
; -3.055 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2_ascii_reg1[3]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -0.806     ; 3.226      ;
6575
; -3.055 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2_ascii_reg1[0]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -0.806     ; 3.226      ;
6576
; -3.055 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2_ascii_reg1[4]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -0.806     ; 3.226      ;
6577
; -3.042 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2_ascii_reg1[1]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -0.805     ; 3.214      ;
6578
; -3.042 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2_ascii_reg1[6]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -0.805     ; 3.214      ;
6579
; -3.042 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2_ascii_reg1[5]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -0.805     ; 3.214      ;
6580
; -3.016 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2_ascii_reg1[7]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -0.806     ; 3.187      ;
6581
; -3.016 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2_ascii_reg1[3]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -0.806     ; 3.187      ;
6582
; -3.016 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2_ascii_reg1[0]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -0.806     ; 3.187      ;
6583
; -3.016 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2_ascii_reg1[4]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -0.806     ; 3.187      ;
6584
; -2.979 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2_ascii_reg1[7]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -0.805     ; 3.151      ;
6585
; -2.979 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2_ascii_reg1[3]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -0.805     ; 3.151      ;
6586
; -2.979 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2_ascii_reg1[0]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -0.805     ; 3.151      ;
6587
; -2.979 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2_ascii_reg1[4]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -0.805     ; 3.151      ;
6588
; -2.798 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2_read                        ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -0.806     ; 2.969      ;
6589
; -2.729 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2_read                        ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -0.806     ; 2.900      ;
6590
; -2.575 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2_read                        ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -0.806     ; 2.746      ;
6591
; -2.481 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2_read                        ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -0.808     ; 2.650      ;
6592
; -2.420 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2_read                        ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -0.806     ; 2.591      ;
6593
; -2.416 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2_read                        ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -0.806     ; 2.587      ;
6594
; -2.375 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2_read                        ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -0.805     ; 2.547      ;
6595
; -2.112 ; LCDON_reg                                          ; LCD:lcd_inst|LCD_ON             ; SW[16]                                                      ; CLOCK_50    ; 1.000        ; -2.435     ; 0.644      ;
6596
; -2.095 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2_ascii_reg1[2]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -0.799     ; 2.273      ;
6597
; -2.049 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2_ascii_reg1[1]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -0.808     ; 2.218      ;
6598
; -2.049 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2_ascii_reg1[6]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -0.808     ; 2.218      ;
6599
; -2.049 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2_ascii_reg1[5]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -0.808     ; 2.218      ;
6600
; -1.999 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2_ascii_reg1[7]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -0.808     ; 2.168      ;
6601
; -1.999 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2_ascii_reg1[3]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -0.808     ; 2.168      ;
6602
; -1.999 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2_ascii_reg1[0]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -0.808     ; 2.168      ;
6603
; -1.999 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2_ascii_reg1[4]               ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -0.808     ; 2.168      ;
6604
; -1.367 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2_read                        ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 1.000        ; -0.808     ; 1.536      ;
6605
; -1.232 ; ps2kbd:ps2_kbd_inst|caps[0]                        ; ps2_ascii_reg1[2]               ; clk_div:clkdiv_inst|clock_100Hz                             ; CLOCK_50    ; 1.000        ; 0.857      ; 3.076      ;
6606
; -1.186 ; ps2kbd:ps2_kbd_inst|caps[0]                        ; ps2_ascii_reg1[1]               ; clk_div:clkdiv_inst|clock_100Hz                             ; CLOCK_50    ; 1.000        ; 0.848      ; 3.021      ;
6607
; -1.186 ; ps2kbd:ps2_kbd_inst|caps[0]                        ; ps2_ascii_reg1[6]               ; clk_div:clkdiv_inst|clock_100Hz                             ; CLOCK_50    ; 1.000        ; 0.848      ; 3.021      ;
6608
; -1.186 ; ps2kbd:ps2_kbd_inst|caps[0]                        ; ps2_ascii_reg1[5]               ; clk_div:clkdiv_inst|clock_100Hz                             ; CLOCK_50    ; 1.000        ; 0.848      ; 3.021      ;
6609
; -1.136 ; ps2kbd:ps2_kbd_inst|caps[0]                        ; ps2_ascii_reg1[7]               ; clk_div:clkdiv_inst|clock_100Hz                             ; CLOCK_50    ; 1.000        ; 0.848      ; 2.971      ;
6610
; -1.136 ; ps2kbd:ps2_kbd_inst|caps[0]                        ; ps2_ascii_reg1[3]               ; clk_div:clkdiv_inst|clock_100Hz                             ; CLOCK_50    ; 1.000        ; 0.848      ; 2.971      ;
6611
; -1.136 ; ps2kbd:ps2_kbd_inst|caps[0]                        ; ps2_ascii_reg1[0]               ; clk_div:clkdiv_inst|clock_100Hz                             ; CLOCK_50    ; 1.000        ; 0.848      ; 2.971      ;
6612
; -1.136 ; ps2kbd:ps2_kbd_inst|caps[0]                        ; ps2_ascii_reg1[4]               ; clk_div:clkdiv_inst|clock_100Hz                             ; CLOCK_50    ; 1.000        ; 0.848      ; 2.971      ;
6613
; -1.091 ; LCD:lcd_inst|clk_count_400hz[7]                    ; LCD:lcd_inst|clk_count_400hz[0] ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; -0.041     ; 2.037      ;
6614
; -1.091 ; LCD:lcd_inst|clk_count_400hz[7]                    ; LCD:lcd_inst|clk_count_400hz[1] ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; -0.041     ; 2.037      ;
6615
; -1.091 ; LCD:lcd_inst|clk_count_400hz[7]                    ; LCD:lcd_inst|clk_count_400hz[2] ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; -0.041     ; 2.037      ;
6616
; -1.091 ; LCD:lcd_inst|clk_count_400hz[7]                    ; LCD:lcd_inst|clk_count_400hz[3] ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; -0.041     ; 2.037      ;
6617
; -1.091 ; LCD:lcd_inst|clk_count_400hz[7]                    ; LCD:lcd_inst|clk_count_400hz[4] ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; -0.041     ; 2.037      ;
6618
; -1.091 ; LCD:lcd_inst|clk_count_400hz[7]                    ; LCD:lcd_inst|clk_count_400hz[5] ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; -0.041     ; 2.037      ;
6619
; -1.091 ; LCD:lcd_inst|clk_count_400hz[7]                    ; LCD:lcd_inst|clk_count_400hz[6] ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; -0.041     ; 2.037      ;
6620
; -1.091 ; LCD:lcd_inst|clk_count_400hz[7]                    ; LCD:lcd_inst|clk_count_400hz[7] ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; -0.041     ; 2.037      ;
6621
; -1.091 ; LCD:lcd_inst|clk_count_400hz[7]                    ; LCD:lcd_inst|clk_count_400hz[8] ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; -0.041     ; 2.037      ;
6622
; -1.091 ; LCD:lcd_inst|clk_count_400hz[7]                    ; LCD:lcd_inst|clk_count_400hz[9] ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; -0.041     ; 2.037      ;
6623
; -1.087 ; LCD:lcd_inst|clk_count_400hz[8]                    ; LCD:lcd_inst|clk_count_400hz[0] ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; -0.041     ; 2.033      ;
6624
; -1.087 ; LCD:lcd_inst|clk_count_400hz[8]                    ; LCD:lcd_inst|clk_count_400hz[1] ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; -0.041     ; 2.033      ;
6625
; -1.087 ; LCD:lcd_inst|clk_count_400hz[8]                    ; LCD:lcd_inst|clk_count_400hz[2] ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; -0.041     ; 2.033      ;
6626
; -1.087 ; LCD:lcd_inst|clk_count_400hz[8]                    ; LCD:lcd_inst|clk_count_400hz[3] ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; -0.041     ; 2.033      ;
6627
; -1.087 ; LCD:lcd_inst|clk_count_400hz[8]                    ; LCD:lcd_inst|clk_count_400hz[4] ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; -0.041     ; 2.033      ;
6628
; -1.087 ; LCD:lcd_inst|clk_count_400hz[8]                    ; LCD:lcd_inst|clk_count_400hz[5] ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; -0.041     ; 2.033      ;
6629
; -1.087 ; LCD:lcd_inst|clk_count_400hz[8]                    ; LCD:lcd_inst|clk_count_400hz[6] ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; -0.041     ; 2.033      ;
6630
; -1.087 ; LCD:lcd_inst|clk_count_400hz[8]                    ; LCD:lcd_inst|clk_count_400hz[7] ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; -0.041     ; 2.033      ;
6631
; -1.087 ; LCD:lcd_inst|clk_count_400hz[8]                    ; LCD:lcd_inst|clk_count_400hz[8] ; CLOCK_50                                                    ; CLOCK_50    ; 1.000        ; -0.041     ; 2.033      ;
6632
+--------+----------------------------------------------------+---------------------------------+-------------------------------------------------------------+-------------+--------------+------------+------------+
6633
 
6634
 
6635
+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
6636
; Fast 1200mV 0C Model Setup: 'clk_div:clkdiv_inst|clock_100Hz'                                                                                                                                                                      ;
6637
+--------+----------------------------------------------------+-----------------------------+-------------------------------------------------------------+---------------------------------+--------------+------------+------------+
6638
; Slack  ; From Node                                          ; To Node                     ; Launch Clock                                                ; Latch Clock                     ; Relationship ; Clock Skew ; Data Delay ;
6639
+--------+----------------------------------------------------+-----------------------------+-------------------------------------------------------------+---------------------------------+--------------+------------+------------+
6640
; -2.263 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -1.691     ; 1.569      ;
6641
; -2.263 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -1.691     ; 1.569      ;
6642
; -1.977 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -1.691     ; 1.283      ;
6643
; -1.977 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -1.691     ; 1.283      ;
6644
; -1.940 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -1.691     ; 1.246      ;
6645
; -1.940 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -1.691     ; 1.246      ;
6646
; -1.939 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -1.691     ; 1.245      ;
6647
; -1.939 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -1.691     ; 1.245      ;
6648
; -1.927 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -1.693     ; 1.231      ;
6649
; -1.927 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -1.693     ; 1.231      ;
6650
; -1.905 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -1.690     ; 1.212      ;
6651
; -1.905 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -1.690     ; 1.212      ;
6652
; -1.902 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -1.691     ; 1.208      ;
6653
; -1.902 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -1.691     ; 1.208      ;
6654
; -1.877 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -1.693     ; 1.181      ;
6655
; -1.877 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -1.693     ; 1.181      ;
6656
; 0.480  ; ps2kbd:ps2_kbd_inst|caps[0]                        ; ps2kbd:ps2_kbd_inst|caps[1] ; clk_div:clkdiv_inst|clock_100Hz                             ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -0.024     ; 0.503      ;
6657
; 0.615  ; ps2kbd:ps2_kbd_inst|caps[1]                        ; ps2kbd:ps2_kbd_inst|caps[0] ; clk_div:clkdiv_inst|clock_100Hz                             ; clk_div:clkdiv_inst|clock_100Hz ; 1.000        ; -0.024     ; 0.368      ;
6658
+--------+----------------------------------------------------+-----------------------------+-------------------------------------------------------------+---------------------------------+--------------+------------+------------+
6659
 
6660
 
6661
+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
6662
; Fast 1200mV 0C Model Setup: 'clk_div:clkdiv_inst|clock_25MHz'                                                                                                                                                                                                                                                                                       ;
6663
+--------+---------------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------------+---------------------------------+---------------------------------+--------------+------------+------------+
6664
; Slack  ; From Node                                                                                                     ; To Node                                                                                                       ; Launch Clock                    ; Latch Clock                     ; Relationship ; Clock Skew ; Data Delay ;
6665
+--------+---------------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------------+---------------------------------+---------------------------------+--------------+------------+------------+
6666
; -2.065 ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a0~portb_address_reg0   ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[0]                                                           ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.186     ; 2.866      ;
6667
; -2.064 ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a0~portb_address_reg0   ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[3]                                                           ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.186     ; 2.865      ;
6668
; -2.062 ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a0~portb_address_reg0   ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[1]                                                           ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.186     ; 2.863      ;
6669
; -1.978 ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a4~portb_address_reg0   ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[0]                                                           ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.180     ; 2.785      ;
6670
; -1.977 ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a4~portb_address_reg0   ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[3]                                                           ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.180     ; 2.784      ;
6671
; -1.975 ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a4~portb_address_reg0   ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[1]                                                           ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.180     ; 2.782      ;
6672
; -1.880 ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a0~portb_address_reg0   ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[2]                                                           ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.186     ; 2.681      ;
6673
; -1.793 ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a4~portb_address_reg0   ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[2]                                                           ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.180     ; 2.600      ;
6674
; -1.764 ; T80se:z80_inst|T80:u0|A[4]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a8~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.889     ; 1.874      ;
6675
; -1.752 ; T80se:z80_inst|T80:u0|A[2]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a8~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.889     ; 1.862      ;
6676
; -1.728 ; T80se:z80_inst|T80:u0|A[10]                                                                                   ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a4~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.821     ; 1.906      ;
6677
; -1.725 ; T80se:z80_inst|T80:u0|A[4]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a0~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.922     ; 1.802      ;
6678
; -1.718 ; T80se:z80_inst|T80:u0|A[10]                                                                                   ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a5~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.816     ; 1.901      ;
6679
; -1.704 ; T80se:z80_inst|T80:u0|A[10]                                                                                   ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a8~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.799     ; 1.904      ;
6680
; -1.652 ; T80se:z80_inst|T80:u0|A[8]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a8~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.663     ; 1.988      ;
6681
; -1.608 ; T80se:z80_inst|T80:u0|A[8]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a0~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.696     ; 1.911      ;
6682
; -1.589 ; T80se:z80_inst|T80:u0|A[13]                                                                                   ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a8~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.642     ; 1.946      ;
6683
; -1.589 ; T80se:z80_inst|T80:u0|A[3]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a8~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.889     ; 1.699      ;
6684
; -1.543 ; T80se:z80_inst|T80:u0|A[4]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a11~porta_address_reg0  ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.918     ; 1.624      ;
6685
; -1.539 ; T80se:z80_inst|T80:u0|A[10]                                                                                   ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a11~porta_address_reg0  ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.828     ; 1.710      ;
6686
; -1.539 ; T80se:z80_inst|T80:u0|A[10]                                                                                   ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a14~porta_address_reg0  ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.830     ; 1.708      ;
6687
; -1.525 ; T80se:z80_inst|T80:u0|A[4]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a14~porta_address_reg0  ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.920     ; 1.604      ;
6688
; -1.514 ; T80se:z80_inst|T80:u0|A[4]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a4~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.911     ; 1.602      ;
6689
; -1.513 ; T80se:z80_inst|T80:u0|A[4]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a5~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.906     ; 1.606      ;
6690
; -1.510 ; T80se:z80_inst|T80:u0|A[2]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a5~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.906     ; 1.603      ;
6691
; -1.491 ; T80se:z80_inst|T80:u0|A[10]                                                                                   ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a7~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.803     ; 1.687      ;
6692
; -1.448 ; T80se:z80_inst|T80:u0|A[8]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a11~porta_address_reg0  ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.692     ; 1.755      ;
6693
; -1.445 ; T80se:z80_inst|T80:u0|A[8]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a14~porta_address_reg0  ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.694     ; 1.750      ;
6694
; -1.423 ; T80se:z80_inst|T80:u0|A[8]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a5~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.680     ; 1.742      ;
6695
; -1.422 ; T80se:z80_inst|T80:u0|A[8]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a4~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.685     ; 1.736      ;
6696
; -1.407 ; T80se:z80_inst|T80:u0|A[5]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a8~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.889     ; 1.517      ;
6697
; -1.386 ; T80se:z80_inst|T80:u0|A[13]                                                                                   ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a5~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.659     ; 1.726      ;
6698
; -1.385 ; T80se:z80_inst|T80:u0|A[13]                                                                                   ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a7~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.646     ; 1.738      ;
6699
; -1.382 ; T80se:z80_inst|T80:u0|A[13]                                                                                   ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a4~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.664     ; 1.717      ;
6700
; -1.378 ; T80se:z80_inst|T80:u0|A[3]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a0~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.922     ; 1.455      ;
6701
; -1.367 ; T80se:z80_inst|T80:u0|A[5]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a4~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.911     ; 1.455      ;
6702
; -1.356 ; T80se:z80_inst|T80:u0|A[2]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a4~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.911     ; 1.444      ;
6703
; -1.355 ; T80se:z80_inst|T80:u0|A[3]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a14~porta_address_reg0  ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.920     ; 1.434      ;
6704
; -1.347 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[8]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a5~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.078      ; 2.434      ;
6705
; -1.347 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[8]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a0~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.080      ; 2.436      ;
6706
; -1.346 ; T80se:z80_inst|T80:u0|A[10]                                                                                   ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a0~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.832     ; 1.513      ;
6707
; -1.344 ; T80se:z80_inst|T80:u0|A[2]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a11~porta_address_reg0  ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.918     ; 1.425      ;
6708
; -1.341 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[6]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a5~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.078      ; 2.428      ;
6709
; -1.341 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[6]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a0~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.080      ; 2.430      ;
6710
; -1.337 ; T80se:z80_inst|T80:u0|A[4]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a7~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.893     ; 1.443      ;
6711
; -1.336 ; T80se:z80_inst|T80:u0|A[2]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a14~porta_address_reg0  ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.920     ; 1.415      ;
6712
; -1.335 ; T80se:z80_inst|T80:u0|A[5]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a5~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.906     ; 1.428      ;
6713
; -1.334 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[4]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a5~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.078      ; 2.421      ;
6714
; -1.334 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[4]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a0~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.080      ; 2.423      ;
6715
; -1.325 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[8]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a7~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.081      ; 2.415      ;
6716
; -1.322 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[3]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a5~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.078      ; 2.409      ;
6717
; -1.322 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[3]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a0~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.080      ; 2.411      ;
6718
; -1.319 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[6]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a7~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.081      ; 2.409      ;
6719
; -1.316 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[5]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a5~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.078      ; 2.403      ;
6720
; -1.316 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[5]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a0~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.080      ; 2.405      ;
6721
; -1.312 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[4]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a7~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.081      ; 2.402      ;
6722
; -1.309 ; T80se:z80_inst|T80:u0|A[2]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a7~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.893     ; 1.415      ;
6723
; -1.309 ; T80se:z80_inst|T80:u0|A[3]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a7~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.893     ; 1.415      ;
6724
; -1.300 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[8]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a1~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.094      ; 2.403      ;
6725
; -1.300 ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a7~portb_address_reg0 ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a0~portb_address_reg0   ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.016     ; 2.293      ;
6726
; -1.300 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[3]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a7~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.081      ; 2.390      ;
6727
; -1.299 ; T80se:z80_inst|T80:u0|A[9]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a8~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.663     ; 1.635      ;
6728
; -1.298 ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a7~portb_address_reg0 ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a4~portb_address_reg0   ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.022     ; 2.285      ;
6729
; -1.295 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[6]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a1~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.094      ; 2.398      ;
6730
; -1.294 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[5]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a7~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.081      ; 2.384      ;
6731
; -1.292 ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a5~portb_address_reg0 ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a4~portb_address_reg0   ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.018     ; 2.283      ;
6732
; -1.290 ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a0~portb_address_reg0 ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a0~portb_address_reg0   ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.014     ; 2.285      ;
6733
; -1.288 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[4]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a1~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.094      ; 2.391      ;
6734
; -1.286 ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a5~portb_address_reg0 ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a0~portb_address_reg0   ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.012     ; 2.283      ;
6735
; -1.282 ; T80se:z80_inst|T80:u0|A[9]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a4~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.685     ; 1.596      ;
6736
; -1.282 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[8]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a2~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.082      ; 2.373      ;
6737
; -1.280 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[3]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a1~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.094      ; 2.383      ;
6738
; -1.277 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[6]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a2~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.082      ; 2.368      ;
6739
; -1.276 ; T80se:z80_inst|T80:u0|A[10]                                                                                   ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a10~porta_address_reg0  ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.819     ; 1.456      ;
6740
; -1.274 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[5]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a1~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.094      ; 2.377      ;
6741
; -1.272 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[8]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a6~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.098      ; 2.379      ;
6742
; -1.270 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[3]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a2~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.082      ; 2.361      ;
6743
; -1.270 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[4]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a2~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.082      ; 2.361      ;
6744
; -1.267 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[6]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a6~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.098      ; 2.374      ;
6745
; -1.264 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[5]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a2~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.082      ; 2.355      ;
6746
; -1.260 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[4]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a6~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.098      ; 2.367      ;
6747
; -1.257 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[7]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a5~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.078      ; 2.344      ;
6748
; -1.257 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[7]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a0~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.080      ; 2.346      ;
6749
; -1.256 ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a0~portb_address_reg0 ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a4~portb_address_reg0   ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.020     ; 2.245      ;
6750
; -1.253 ; T80se:z80_inst|T80:u0|A[7]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a7~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.666     ; 1.586      ;
6751
; -1.252 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[3]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a6~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.098      ; 2.359      ;
6752
; -1.248 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[8]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a4~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.096      ; 2.353      ;
6753
; -1.247 ; T80se:z80_inst|T80:u0|A[10]                                                                                   ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a15~porta_address_reg0  ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.812     ; 1.434      ;
6754
; -1.246 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[5]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a6~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.098      ; 2.353      ;
6755
; -1.245 ; T80se:z80_inst|T80:u0|A[4]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a6~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.887     ; 1.357      ;
6756
; -1.243 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[6]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a4~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.096      ; 2.348      ;
6757
; -1.237 ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a2~portb_address_reg0 ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a0~portb_address_reg0   ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.017     ; 2.229      ;
6758
; -1.236 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[4]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a4~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.096      ; 2.341      ;
6759
; -1.235 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[7]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a7~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.081      ; 2.325      ;
6760
; -1.228 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[3]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a4~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.096      ; 2.333      ;
6761
; -1.222 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[5]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a4~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.096      ; 2.327      ;
6762
; -1.219 ; T80se:z80_inst|T80:u0|A[3]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a2~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.915     ; 1.303      ;
6763
; -1.218 ; T80se:z80_inst|T80:u0|A[12]                                                                                   ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a8~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.642     ; 1.575      ;
6764
; -1.215 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[7]                                                          ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a1~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; 0.094      ; 2.318      ;
6765
; -1.210 ; T80se:z80_inst|T80:u0|A[4]                                                                                    ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a9~porta_address_reg0   ; SW[16]                          ; clk_div:clkdiv_inst|clock_25MHz ; 1.000        ; -0.888     ; 1.321      ;
6766
+--------+---------------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------------+---------------------------------+---------------------------------+--------------+------------+------------+
6767
 
6768
 
6769
+---------------------------------------------------------------------------------------------------------------------------------------+
6770
; Fast 1200mV 0C Model Setup: 'T80se:z80_inst|MREQ_n'                                                                                   ;
6771
+--------+-----------------------------+----------------+--------------+-----------------------+--------------+------------+------------+
6772
; Slack  ; From Node                   ; To Node        ; Launch Clock ; Latch Clock           ; Relationship ; Clock Skew ; Data Delay ;
6773
+--------+-----------------------------+----------------+--------------+-----------------------+--------------+------------+------------+
6774
; -0.791 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[10][7] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.498      ; 1.379      ;
6775
; -0.776 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[29][0] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.797      ; 1.640      ;
6776
; -0.764 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[8][5]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.281      ; 1.127      ;
6777
; -0.749 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[29][1] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.771      ; 1.569      ;
6778
; -0.715 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[5][7]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.378      ; 1.145      ;
6779
; -0.711 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[11][7] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.228      ; 0.950      ;
6780
; -0.683 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[13][7] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.506      ; 0.889      ;
6781
; -0.681 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[2][2]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.363      ; 1.124      ;
6782
; -0.679 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[29][3] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.776      ; 1.504      ;
6783
; -0.676 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[26][4] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.900      ; 1.628      ;
6784
; -0.654 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[0][0]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.581      ; 1.223      ;
6785
; -0.623 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[30][4] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.953      ; 1.628      ;
6786
; -0.622 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[29][7] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.862      ; 1.635      ;
6787
; -0.619 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[3][4]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -0.097     ; 0.432      ;
6788
; -0.609 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[29][6] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.791      ; 1.449      ;
6789
; -0.606 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[30][1] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.913      ; 1.567      ;
6790
; -0.597 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[8][3]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.384      ; 1.034      ;
6791
; -0.586 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[5][5]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.510      ; 1.109      ;
6792
; -0.563 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[21][1] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.062      ; 1.677      ;
6793
; -0.560 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[8][1]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.197      ; 0.745      ;
6794
; -0.560 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[30][0] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.956      ; 1.565      ;
6795
; -0.557 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[13][2] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.400      ; 1.108      ;
6796
; -0.546 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[0][6]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.309      ; 0.999      ;
6797
; -0.542 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[10][2] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.524      ; 1.148      ;
6798
; -0.540 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[11][5] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.316      ; 0.767      ;
6799
; -0.539 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[24][1] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.222      ; 1.814      ;
6800
; -0.530 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[5][2]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.427      ; 1.107      ;
6801
; -0.527 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[3][0]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -0.099     ; 0.339      ;
6802
; -0.521 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[3][7]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.468      ; 1.139      ;
6803
; -0.510 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[11][0] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.439      ; 1.099      ;
6804
; -0.508 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[21][2] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.048      ; 1.609      ;
6805
; -0.498 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[11][1] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.309      ; 0.717      ;
6806
; -0.495 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[11][3] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.314      ; 0.737      ;
6807
; -0.495 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[5][1]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.491      ; 0.900      ;
6808
; -0.470 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[8][2]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.496      ; 0.981      ;
6809
; -0.465 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[24][4] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.288      ; 1.903      ;
6810
; -0.461 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[18][7] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.078      ; 1.592      ;
6811
; -0.460 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[8][4]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.256      ; 0.730      ;
6812
; -0.459 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[5][3]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.380      ; 0.903      ;
6813
; -0.456 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[16][4] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.377      ; 1.982      ;
6814
; -0.454 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[25][5] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.264      ; 1.771      ;
6815
; -0.451 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[8][7]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.403      ; 0.998      ;
6816
; -0.451 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[22][1] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.845      ; 1.439      ;
6817
; -0.450 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[3][5]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.327      ; 0.765      ;
6818
; -0.450 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[29][5] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.860      ; 1.460      ;
6819
; -0.448 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[10][3] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.084      ; 0.443      ;
6820
; -0.447 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[25][7] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.258      ; 1.754      ;
6821
; -0.434 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[8][0]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.511      ; 1.099      ;
6822
; -0.430 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[24][3] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.242      ; 1.725      ;
6823
; -0.427 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[10][1] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.106      ; 0.623      ;
6824
; -0.424 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[20][4] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.178      ; 1.650      ;
6825
; -0.423 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[7][7]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.594      ; 1.168      ;
6826
; -0.423 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[3][3]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.326      ; 0.737      ;
6827
; -0.421 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[15][5] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.527      ; 0.997      ;
6828
; -0.421 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[29][2] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.803      ; 1.368      ;
6829
; -0.418 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[3][2]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -0.009     ; 0.473      ;
6830
; -0.418 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[0][5]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.834      ; 1.342      ;
6831
; -0.410 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[20][3] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.293      ; 1.499      ;
6832
; -0.409 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[20][1] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.184      ; 1.642      ;
6833
; -0.407 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[10][5] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.375      ; 0.769      ;
6834
; -0.404 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[26][7] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.890      ; 1.437      ;
6835
; -0.403 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[9][7]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.468      ; 0.950      ;
6836
; -0.399 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[25][6] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.258      ; 1.706      ;
6837
; -0.396 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[21][7] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.069      ; 1.608      ;
6838
; -0.394 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[24][7] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.256      ; 1.794      ;
6839
; -0.393 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[18][4] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.164      ; 1.712      ;
6840
; -0.386 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[11][2] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.463      ; 0.928      ;
6841
; -0.385 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[26][2] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.965      ; 1.504      ;
6842
; -0.379 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[25][4] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.233      ; 1.662      ;
6843
; -0.378 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[3][6]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -0.008     ; 0.418      ;
6844
; -0.378 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[22][3] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.903      ; 1.269      ;
6845
; -0.373 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[10][4] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -0.020     ; 0.496      ;
6846
; -0.372 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[14][3] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.602      ; 0.896      ;
6847
; -0.370 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[24][2] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.235      ; 1.752      ;
6848
; -0.367 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[13][6] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.399      ; 0.754      ;
6849
; -0.367 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[13][1] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.507      ; 1.025      ;
6850
; -0.362 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[16][2] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.392      ; 1.804      ;
6851
; -0.361 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[29][4] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.842      ; 1.357      ;
6852
; -0.360 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[9][2]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.631      ; 0.979      ;
6853
; -0.359 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[2][6]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.348      ; 0.797      ;
6854
; -0.358 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[26][6] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.896      ; 1.304      ;
6855
; -0.356 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[30][7] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.958      ; 1.458      ;
6856
; -0.352 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[11][4] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; -0.014     ; 0.428      ;
6857
; -0.352 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[22][5] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.921      ; 1.423      ;
6858
; -0.351 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[25][1] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.271      ; 1.675      ;
6859
; -0.349 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[22][7] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.884      ; 1.387      ;
6860
; -0.348 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[22][0] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.917      ; 1.416      ;
6861
; -0.346 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[10][0] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.597      ; 1.098      ;
6862
; -0.337 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[5][6]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.409      ; 0.889      ;
6863
; -0.337 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[20][7] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.212      ; 1.598      ;
6864
; -0.335 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[24][6] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.317      ; 1.806      ;
6865
; -0.334 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[7][6]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.495      ; 0.878      ;
6866
; -0.334 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[28][7] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.120      ; 1.504      ;
6867
; -0.331 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[15][4] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.725      ; 1.137      ;
6868
; -0.331 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[21][5] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.058      ; 1.438      ;
6869
; -0.331 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[18][1] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.096      ; 1.571      ;
6870
; -0.327 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[25][2] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.339      ; 1.654      ;
6871
; -0.327 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[21][0] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.137      ; 1.618      ;
6872
; -0.326 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[9][0]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 0.601      ; 0.942      ;
6873
; -0.324 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[24][5] ; SW[16]       ; T80se:z80_inst|MREQ_n ; 0.500        ; 1.223      ; 1.690      ;
6874
+--------+-----------------------------+----------------+--------------+-----------------------+--------------+------------+------------+
6875
 
6876
 
6877
+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
6878
; Fast 1200mV 0C Model Setup: 'ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered'                                                                                                                                                                                           ;
6879
+--------+--------------------------------------------------+----------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+
6880
; Slack  ; From Node                                        ; To Node                                            ; Launch Clock                                                ; Latch Clock                                                 ; Relationship ; Clock Skew ; Data Delay ;
6881
+--------+--------------------------------------------------+----------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+
6882
; -0.602 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.041     ; 1.548      ;
6883
; -0.599 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.041     ; 1.545      ;
6884
; -0.522 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.039     ; 1.470      ;
6885
; -0.519 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.039     ; 1.467      ;
6886
; -0.506 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.041     ; 1.452      ;
6887
; -0.454 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.041     ; 1.400      ;
6888
; -0.445 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.037     ; 1.395      ;
6889
; -0.442 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.037     ; 1.392      ;
6890
; -0.436 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.039     ; 1.384      ;
6891
; -0.436 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.039     ; 1.384      ;
6892
; -0.436 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.039     ; 1.384      ;
6893
; -0.436 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.039     ; 1.384      ;
6894
; -0.436 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.039     ; 1.384      ;
6895
; -0.433 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.039     ; 1.381      ;
6896
; -0.433 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.039     ; 1.381      ;
6897
; -0.433 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.039     ; 1.381      ;
6898
; -0.433 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.039     ; 1.381      ;
6899
; -0.433 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.039     ; 1.381      ;
6900
; -0.426 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.039     ; 1.374      ;
6901
; -0.419 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.037     ; 1.369      ;
6902
; -0.416 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.037     ; 1.366      ;
6903
; -0.374 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.039     ; 1.322      ;
6904
; -0.355 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.041     ; 1.301      ;
6905
; -0.349 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.037     ; 1.299      ;
6906
; -0.340 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.039     ; 1.288      ;
6907
; -0.340 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.039     ; 1.288      ;
6908
; -0.340 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.039     ; 1.288      ;
6909
; -0.340 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.039     ; 1.288      ;
6910
; -0.340 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.039     ; 1.288      ;
6911
; -0.323 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.037     ; 1.273      ;
6912
; -0.297 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.037     ; 1.247      ;
6913
; -0.288 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.039     ; 1.236      ;
6914
; -0.288 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.039     ; 1.236      ;
6915
; -0.288 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.039     ; 1.236      ;
6916
; -0.288 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.039     ; 1.236      ;
6917
; -0.288 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.039     ; 1.236      ;
6918
; -0.276 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.039     ; 1.224      ;
6919
; -0.271 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.037     ; 1.221      ;
6920
; -0.226 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.041     ; 1.172      ;
6921
; -0.226 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.041     ; 1.172      ;
6922
; -0.226 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.041     ; 1.172      ;
6923
; -0.226 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.041     ; 1.172      ;
6924
; -0.226 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.041     ; 1.172      ;
6925
; -0.226 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.041     ; 1.172      ;
6926
; -0.226 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.041     ; 1.172      ;
6927
; -0.226 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.041     ; 1.172      ;
6928
; -0.226 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.041     ; 1.172      ;
6929
; -0.223 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.041     ; 1.169      ;
6930
; -0.223 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.041     ; 1.169      ;
6931
; -0.223 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.041     ; 1.169      ;
6932
; -0.223 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.041     ; 1.169      ;
6933
; -0.223 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.041     ; 1.169      ;
6934
; -0.223 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.041     ; 1.169      ;
6935
; -0.223 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.041     ; 1.169      ;
6936
; -0.223 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.041     ; 1.169      ;
6937
; -0.223 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.041     ; 1.169      ;
6938
; -0.199 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.037     ; 1.149      ;
6939
; -0.190 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.039     ; 1.138      ;
6940
; -0.190 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.039     ; 1.138      ;
6941
; -0.190 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.039     ; 1.138      ;
6942
; -0.190 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.039     ; 1.138      ;
6943
; -0.190 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.039     ; 1.138      ;
6944
; -0.173 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.037     ; 1.123      ;
6945
; -0.146 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.041     ; 1.092      ;
6946
; -0.142 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.041     ; 1.088      ;
6947
; -0.131 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.038     ; 1.080      ;
6948
; -0.130 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.041     ; 1.076      ;
6949
; -0.130 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.041     ; 1.076      ;
6950
; -0.130 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.041     ; 1.076      ;
6951
; -0.130 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.041     ; 1.076      ;
6952
; -0.130 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.041     ; 1.076      ;
6953
; -0.130 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.041     ; 1.076      ;
6954
; -0.130 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.041     ; 1.076      ;
6955
; -0.130 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.041     ; 1.076      ;
6956
; -0.130 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.041     ; 1.076      ;
6957
; -0.111 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.040     ; 1.058      ;
6958
; -0.102 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.041     ; 1.048      ;
6959
; -0.101 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.041     ; 1.047      ;
6960
; -0.098 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.041     ; 1.044      ;
6961
; -0.097 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.041     ; 1.043      ;
6962
; -0.078 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.041     ; 1.024      ;
6963
; -0.078 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.041     ; 1.024      ;
6964
; -0.078 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.041     ; 1.024      ;
6965
; -0.078 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.041     ; 1.024      ;
6966
; -0.078 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.041     ; 1.024      ;
6967
; -0.078 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.041     ; 1.024      ;
6968
; -0.078 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.041     ; 1.024      ;
6969
; -0.078 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.041     ; 1.024      ;
6970
; -0.078 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.041     ; 1.024      ;
6971
; -0.068 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.041     ; 1.014      ;
6972
; -0.035 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.041     ; 0.981      ;
6973
; -0.035 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.041     ; 0.981      ;
6974
; -0.035 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.041     ; 0.981      ;
6975
; -0.035 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.041     ; 0.981      ;
6976
; -0.035 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.041     ; 0.981      ;
6977
; -0.035 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.041     ; 0.981      ;
6978
; -0.035 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.041     ; 0.981      ;
6979
; -0.035 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.041     ; 0.981      ;
6980
; -0.035 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.041     ; 0.981      ;
6981
; -0.024 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.000        ; -0.041     ; 0.970      ;
6982
+--------+--------------------------------------------------+----------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+
6983
 
6984
 
6985
+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
6986
; Fast 1200mV 0C Model Setup: 'clk_div:clkdiv_inst|clock_25Mhz_int'                                                                                                                                   ;
6987
+-------+-----------------------------------+------------------------------------+-------------------------------------+-------------------------------------+--------------+------------+------------+
6988
; Slack ; From Node                         ; To Node                            ; Launch Clock                        ; Latch Clock                         ; Relationship ; Clock Skew ; Data Delay ;
6989
+-------+-----------------------------------+------------------------------------+-------------------------------------+-------------------------------------+--------------+------------+------------+
6990
; 0.090 ; clk_div:clkdiv_inst|count_1Mhz[1] ; clk_div:clkdiv_inst|count_1Mhz[4]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.024     ; 0.893      ;
6991
; 0.129 ; clk_div:clkdiv_inst|count_1Mhz[3] ; clk_div:clkdiv_inst|count_1Mhz[4]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.024     ; 0.854      ;
6992
; 0.129 ; clk_div:clkdiv_inst|count_1Mhz[3] ; clk_div:clkdiv_inst|count_1Mhz[2]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.024     ; 0.854      ;
6993
; 0.129 ; clk_div:clkdiv_inst|count_1Mhz[3] ; clk_div:clkdiv_inst|count_1Mhz[1]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.024     ; 0.854      ;
6994
; 0.129 ; clk_div:clkdiv_inst|count_1Mhz[3] ; clk_div:clkdiv_inst|count_1Mhz[0]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.024     ; 0.854      ;
6995
; 0.131 ; clk_div:clkdiv_inst|count_1Mhz[3] ; clk_div:clkdiv_inst|count_1Mhz[3]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.022     ; 0.854      ;
6996
; 0.140 ; clk_div:clkdiv_inst|count_1Mhz[0] ; clk_div:clkdiv_inst|count_1Mhz[4]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.024     ; 0.843      ;
6997
; 0.154 ; clk_div:clkdiv_inst|count_1Mhz[1] ; clk_div:clkdiv_inst|count_1Mhz[3]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.024     ; 0.829      ;
6998
; 0.158 ; clk_div:clkdiv_inst|count_1Mhz[1] ; clk_div:clkdiv_inst|count_1Mhz[2]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.024     ; 0.825      ;
6999
; 0.169 ; clk_div:clkdiv_inst|count_1Mhz[0] ; clk_div:clkdiv_inst|count_1Mhz[3]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.024     ; 0.814      ;
7000
; 0.200 ; clk_div:clkdiv_inst|count_1Mhz[2] ; clk_div:clkdiv_inst|count_1Mhz[4]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.024     ; 0.783      ;
7001
; 0.208 ; clk_div:clkdiv_inst|count_1Mhz[0] ; clk_div:clkdiv_inst|count_1Mhz[2]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.024     ; 0.775      ;
7002
; 0.232 ; clk_div:clkdiv_inst|count_1Mhz[2] ; clk_div:clkdiv_inst|count_1Mhz[3]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.024     ; 0.751      ;
7003
; 0.237 ; clk_div:clkdiv_inst|count_1Mhz[0] ; clk_div:clkdiv_inst|count_1Mhz[1]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.024     ; 0.746      ;
7004
; 0.288 ; clk_div:clkdiv_inst|count_1Mhz[4] ; clk_div:clkdiv_inst|count_1Mhz[3]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.024     ; 0.695      ;
7005
; 0.288 ; clk_div:clkdiv_inst|count_1Mhz[4] ; clk_div:clkdiv_inst|count_1Mhz[2]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.024     ; 0.695      ;
7006
; 0.288 ; clk_div:clkdiv_inst|count_1Mhz[4] ; clk_div:clkdiv_inst|count_1Mhz[1]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.024     ; 0.695      ;
7007
; 0.288 ; clk_div:clkdiv_inst|count_1Mhz[4] ; clk_div:clkdiv_inst|count_1Mhz[0]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.024     ; 0.695      ;
7008
; 0.290 ; clk_div:clkdiv_inst|count_1Mhz[4] ; clk_div:clkdiv_inst|count_1Mhz[4]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.022     ; 0.695      ;
7009
; 0.438 ; clk_div:clkdiv_inst|count_1Mhz[2] ; clk_div:clkdiv_inst|count_1Mhz[2]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.022     ; 0.547      ;
7010
; 0.440 ; clk_div:clkdiv_inst|count_1Mhz[3] ; clk_div:clkdiv_inst|clock_1Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.024     ; 0.543      ;
7011
; 0.445 ; clk_div:clkdiv_inst|count_1Mhz[0] ; clk_div:clkdiv_inst|count_1Mhz[0]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.022     ; 0.540      ;
7012
; 0.448 ; clk_div:clkdiv_inst|count_1Mhz[2] ; clk_div:clkdiv_inst|clock_1Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.024     ; 0.535      ;
7013
; 0.453 ; clk_div:clkdiv_inst|count_1Mhz[1] ; clk_div:clkdiv_inst|count_1Mhz[1]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.022     ; 0.532      ;
7014
; 0.598 ; clk_div:clkdiv_inst|count_1Mhz[4] ; clk_div:clkdiv_inst|clock_1Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 1.000        ; -0.024     ; 0.385      ;
7015
+-------+-----------------------------------+------------------------------------+-------------------------------------+-------------------------------------+--------------+------------+------------+
7016
 
7017
 
7018
+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
7019
; Fast 1200mV 0C Model Setup: 'clk_div:clkdiv_inst|clock_100Khz_int'                                                                                                                                       ;
7020
+-------+-------------------------------------+-------------------------------------+--------------------------------------+--------------------------------------+--------------+------------+------------+
7021
; Slack ; From Node                           ; To Node                             ; Launch Clock                         ; Latch Clock                          ; Relationship ; Clock Skew ; Data Delay ;
7022
+-------+-------------------------------------+-------------------------------------+--------------------------------------+--------------------------------------+--------------+------------+------------+
7023
; 0.108 ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int  ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.500        ; 1.101      ; 1.605      ;
7024
; 0.264 ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 1.000        ; -0.024     ; 0.719      ;
7025
; 0.353 ; clk_div:clkdiv_inst|count_10Khz[2]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 1.000        ; -0.024     ; 0.630      ;
7026
; 0.429 ; clk_div:clkdiv_inst|count_10Khz[1]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 1.000        ; -0.024     ; 0.554      ;
7027
; 0.431 ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|count_10Khz[2]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 1.000        ; -0.024     ; 0.552      ;
7028
; 0.462 ; clk_div:clkdiv_inst|count_10Khz[1]  ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 1.000        ; -0.024     ; 0.521      ;
7029
; 0.521 ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|count_10Khz[1]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 1.000        ; -0.024     ; 0.462      ;
7030
; 0.523 ; clk_div:clkdiv_inst|count_10Khz[2]  ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 1.000        ; -0.024     ; 0.460      ;
7031
; 0.597 ; clk_div:clkdiv_inst|count_10Khz[1]  ; clk_div:clkdiv_inst|count_10Khz[2]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 1.000        ; -0.024     ; 0.386      ;
7032
; 0.626 ; clk_div:clkdiv_inst|count_10Khz[2]  ; clk_div:clkdiv_inst|count_10Khz[2]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 1.000        ; -0.022     ; 0.359      ;
7033
; 0.626 ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 1.000        ; -0.022     ; 0.359      ;
7034
; 0.626 ; clk_div:clkdiv_inst|count_10Khz[1]  ; clk_div:clkdiv_inst|count_10Khz[1]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 1.000        ; -0.022     ; 0.359      ;
7035
; 0.765 ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int  ; clk_div:clkdiv_inst|clock_100Khz_int ; 1.000        ; 1.101      ; 1.448      ;
7036
+-------+-------------------------------------+-------------------------------------+--------------------------------------+--------------------------------------+--------------+------------+------------+
7037
 
7038
 
7039
+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
7040
; Fast 1200mV 0C Model Setup: 'clk_div:clkdiv_inst|clock_1Mhz_int'                                                                                                                                         ;
7041
+-------+--------------------------------------+--------------------------------------+--------------------------------------+------------------------------------+--------------+------------+------------+
7042
; Slack ; From Node                            ; To Node                              ; Launch Clock                         ; Latch Clock                        ; Relationship ; Clock Skew ; Data Delay ;
7043
+-------+--------------------------------------+--------------------------------------+--------------------------------------+------------------------------------+--------------+------------+------------+
7044
; 0.158 ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.500        ; 0.470      ; 0.924      ;
7045
; 0.194 ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 1.000        ; -0.023     ; 0.790      ;
7046
; 0.281 ; clk_div:clkdiv_inst|count_100Khz[2]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 1.000        ; -0.023     ; 0.703      ;
7047
; 0.365 ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|count_100Khz[2]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 1.000        ; -0.023     ; 0.619      ;
7048
; 0.428 ; clk_div:clkdiv_inst|count_100Khz[1]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 1.000        ; -0.023     ; 0.556      ;
7049
; 0.462 ; clk_div:clkdiv_inst|count_100Khz[1]  ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 1.000        ; -0.023     ; 0.522      ;
7050
; 0.519 ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|count_100Khz[1]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 1.000        ; -0.023     ; 0.465      ;
7051
; 0.598 ; clk_div:clkdiv_inst|count_100Khz[1]  ; clk_div:clkdiv_inst|count_100Khz[2]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 1.000        ; -0.023     ; 0.386      ;
7052
; 0.604 ; clk_div:clkdiv_inst|count_100Khz[2]  ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 1.000        ; -0.023     ; 0.380      ;
7053
; 0.626 ; clk_div:clkdiv_inst|count_100Khz[2]  ; clk_div:clkdiv_inst|count_100Khz[2]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 1.000        ; -0.022     ; 0.359      ;
7054
; 0.626 ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 1.000        ; -0.022     ; 0.359      ;
7055
; 0.626 ; clk_div:clkdiv_inst|count_100Khz[1]  ; clk_div:clkdiv_inst|count_100Khz[1]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 1.000        ; -0.022     ; 0.359      ;
7056
; 0.749 ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_1Mhz_int ; 1.000        ; 0.470      ; 0.833      ;
7057
+-------+--------------------------------------+--------------------------------------+--------------------------------------+------------------------------------+--------------+------------+------------+
7058
 
7059
 
7060
+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
7061
; Fast 1200mV 0C Model Setup: 'clk_div:clkdiv_inst|clock_10Khz_int'                                                                                                                                    ;
7062
+-------+------------------------------------+------------------------------------+-------------------------------------+-------------------------------------+--------------+------------+------------+
7063
; Slack ; From Node                          ; To Node                            ; Launch Clock                        ; Latch Clock                         ; Relationship ; Clock Skew ; Data Delay ;
7064
+-------+------------------------------------+------------------------------------+-------------------------------------+-------------------------------------+--------------+------------+------------+
7065
; 0.230 ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int  ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.500        ; 0.418      ; 0.800      ;
7066
; 0.263 ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 1.000        ; -0.024     ; 0.720      ;
7067
; 0.354 ; clk_div:clkdiv_inst|count_1Khz[2]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 1.000        ; -0.024     ; 0.629      ;
7068
; 0.426 ; clk_div:clkdiv_inst|count_1Khz[1]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 1.000        ; -0.024     ; 0.557      ;
7069
; 0.436 ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|count_1Khz[2]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 1.000        ; -0.024     ; 0.547      ;
7070
; 0.462 ; clk_div:clkdiv_inst|count_1Khz[1]  ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 1.000        ; -0.024     ; 0.521      ;
7071
; 0.524 ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|count_1Khz[1]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 1.000        ; -0.024     ; 0.459      ;
7072
; 0.526 ; clk_div:clkdiv_inst|count_1Khz[2]  ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 1.000        ; -0.024     ; 0.457      ;
7073
; 0.598 ; clk_div:clkdiv_inst|count_1Khz[1]  ; clk_div:clkdiv_inst|count_1Khz[2]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 1.000        ; -0.024     ; 0.385      ;
7074
; 0.626 ; clk_div:clkdiv_inst|count_1Khz[2]  ; clk_div:clkdiv_inst|count_1Khz[2]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 1.000        ; -0.022     ; 0.359      ;
7075
; 0.626 ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 1.000        ; -0.022     ; 0.359      ;
7076
; 0.626 ; clk_div:clkdiv_inst|count_1Khz[1]  ; clk_div:clkdiv_inst|count_1Khz[1]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 1.000        ; -0.022     ; 0.359      ;
7077
; 0.805 ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int  ; clk_div:clkdiv_inst|clock_10Khz_int ; 1.000        ; 0.418      ; 0.725      ;
7078
+-------+------------------------------------+------------------------------------+-------------------------------------+-------------------------------------+--------------+------------+------------+
7079
 
7080
 
7081
+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
7082
; Fast 1200mV 0C Model Setup: 'clk_div:clkdiv_inst|clock_1Khz_int'                                                                                                                                     ;
7083
+-------+-------------------------------------+-------------------------------------+------------------------------------+------------------------------------+--------------+------------+------------+
7084
; Slack ; From Node                           ; To Node                             ; Launch Clock                       ; Latch Clock                        ; Relationship ; Clock Skew ; Data Delay ;
7085
+-------+-------------------------------------+-------------------------------------+------------------------------------+------------------------------------+--------------+------------+------------+
7086
; 0.428 ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|clock_100hz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 1.000        ; -0.023     ; 0.556      ;
7087
; 0.432 ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|count_100hz[2]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 1.000        ; -0.023     ; 0.552      ;
7088
; 0.451 ; clk_div:clkdiv_inst|count_100hz[2]  ; clk_div:clkdiv_inst|clock_100hz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 1.000        ; -0.023     ; 0.533      ;
7089
; 0.457 ; clk_div:clkdiv_inst|count_100hz[1]  ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 1.000        ; -0.023     ; 0.527      ;
7090
; 0.519 ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|count_100hz[1]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 1.000        ; -0.023     ; 0.465      ;
7091
; 0.594 ; clk_div:clkdiv_inst|count_100hz[1]  ; clk_div:clkdiv_inst|clock_100hz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 1.000        ; -0.023     ; 0.390      ;
7092
; 0.596 ; clk_div:clkdiv_inst|count_100hz[1]  ; clk_div:clkdiv_inst|count_100hz[2]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 1.000        ; -0.023     ; 0.388      ;
7093
; 0.607 ; clk_div:clkdiv_inst|count_100hz[2]  ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 1.000        ; -0.023     ; 0.377      ;
7094
; 0.626 ; clk_div:clkdiv_inst|count_100hz[2]  ; clk_div:clkdiv_inst|count_100hz[2]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 1.000        ; -0.022     ; 0.359      ;
7095
; 0.626 ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 1.000        ; -0.022     ; 0.359      ;
7096
; 0.626 ; clk_div:clkdiv_inst|count_100hz[1]  ; clk_div:clkdiv_inst|count_100hz[1]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 1.000        ; -0.022     ; 0.359      ;
7097
; 0.626 ; clk_div:clkdiv_inst|clock_100hz_int ; clk_div:clkdiv_inst|clock_100hz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 1.000        ; -0.022     ; 0.359      ;
7098
+-------+-------------------------------------+-------------------------------------+------------------------------------+------------------------------------+--------------+------------+------------+
7099
 
7100
 
7101
+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
7102
; Fast 1200mV 0C Model Hold: 'SW[16]'                                                                                                                                                                                           ;
7103
+--------+-----------------------+---------------------------------------------------------------------------------------------------------------+-----------------------+-------------+--------------+------------+------------+
7104
; Slack  ; From Node             ; To Node                                                                                                       ; Launch Clock          ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
7105
+--------+-----------------------+---------------------------------------------------------------------------------------------------------------+-----------------------+-------------+--------------+------------+------------+
7106
; -1.533 ; \random:rand_temp[14] ; T80se:z80_inst|DI_Reg[6]                                                                                      ; CLOCK_50              ; SW[16]      ; 0.000        ; 2.428      ; 1.019      ;
7107
; -1.530 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|T80:u0|IR[3]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 3.937      ; 2.626      ;
7108
; -1.492 ; \random:rand_temp[14] ; T80se:z80_inst|T80:u0|IR[6]                                                                                   ; CLOCK_50              ; SW[16]      ; 0.000        ; 2.428      ; 1.060      ;
7109
; -1.443 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|T80:u0|IR[4]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 3.938      ; 2.714      ;
7110
; -1.425 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|DI_Reg[4]                                                                                      ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 3.938      ; 2.732      ;
7111
; -1.398 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|DI_Reg[3]                                                                                      ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 3.938      ; 2.759      ;
7112
; -1.269 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|T80:u0|IR[5]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 3.938      ; 2.888      ;
7113
; -1.267 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|T80:u0|IR[6]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 3.938      ; 2.890      ;
7114
; -1.228 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|DI_Reg[7]                                                                                      ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 3.938      ; 2.929      ;
7115
; -1.217 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|DI_Reg[5]                                                                                      ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 3.938      ; 2.940      ;
7116
; -1.197 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|T80:u0|IR[7]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 3.944      ; 2.966      ;
7117
; -1.174 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|DI_Reg[2]                                                                                      ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 3.938      ; 2.983      ;
7118
; -1.124 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|DI_Reg[6]                                                                                      ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 3.938      ; 3.033      ;
7119
; -1.089 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|T80:u0|IR[2]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 3.943      ; 3.073      ;
7120
; -0.909 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|DI_Reg[1]                                                                                      ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 3.938      ; 3.248      ;
7121
; -0.866 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|T80:u0|IR[3]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; -0.500       ; 3.937      ; 2.790      ;
7122
; -0.850 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|T80:u0|IR[1]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 3.944      ; 3.313      ;
7123
; -0.801 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|T80:u0|IR[0]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 3.942      ; 3.360      ;
7124
; -0.781 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|DI_Reg[0]                                                                                      ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 3.938      ; 3.376      ;
7125
; -0.736 ; T80se:z80_inst|MREQ_n ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a4~porta_address_reg0 ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 4.115      ; 3.618      ;
7126
; -0.736 ; T80se:z80_inst|MREQ_n ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a4~porta_datain_reg0  ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 4.117      ; 3.620      ;
7127
; -0.736 ; T80se:z80_inst|MREQ_n ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a4~porta_we_reg       ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 4.115      ; 3.618      ;
7128
; -0.734 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|DI_Reg[3]                                                                                      ; T80se:z80_inst|MREQ_n ; SW[16]      ; -0.500       ; 3.938      ; 2.923      ;
7129
; -0.730 ; T80se:z80_inst|MREQ_n ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a4~porta_address_reg0   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 4.118      ; 3.627      ;
7130
; -0.730 ; T80se:z80_inst|MREQ_n ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a4~porta_datain_reg0    ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 4.120      ; 3.629      ;
7131
; -0.730 ; T80se:z80_inst|MREQ_n ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a4~porta_we_reg         ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 4.118      ; 3.627      ;
7132
; -0.718 ; T80se:z80_inst|MREQ_n ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a0~porta_address_reg0   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 4.119      ; 3.640      ;
7133
; -0.718 ; T80se:z80_inst|MREQ_n ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a0~porta_datain_reg0    ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 4.121      ; 3.642      ;
7134
; -0.718 ; T80se:z80_inst|MREQ_n ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a0~porta_we_reg         ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 4.119      ; 3.640      ;
7135
; -0.701 ; T80se:z80_inst|MREQ_n ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a6~porta_address_reg0 ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 4.116      ; 3.654      ;
7136
; -0.701 ; T80se:z80_inst|MREQ_n ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a6~porta_datain_reg0  ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 4.118      ; 3.656      ;
7137
; -0.701 ; T80se:z80_inst|MREQ_n ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a6~porta_we_reg       ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 4.116      ; 3.654      ;
7138
; -0.701 ; T80se:z80_inst|MREQ_n ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a5~porta_address_reg0 ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 4.096      ; 3.634      ;
7139
; -0.701 ; T80se:z80_inst|MREQ_n ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a5~porta_datain_reg0  ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 4.098      ; 3.636      ;
7140
; -0.701 ; T80se:z80_inst|MREQ_n ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a5~porta_we_reg       ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 4.096      ; 3.634      ;
7141
; -0.697 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|T80:u0|IR[5]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; -0.500       ; 3.938      ; 2.960      ;
7142
; -0.695 ; T80se:z80_inst|MREQ_n ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a1~porta_address_reg0 ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 4.113      ; 3.657      ;
7143
; -0.695 ; T80se:z80_inst|MREQ_n ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a1~porta_datain_reg0  ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 4.115      ; 3.659      ;
7144
; -0.695 ; T80se:z80_inst|MREQ_n ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a1~porta_we_reg       ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 4.113      ; 3.657      ;
7145
; -0.695 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|T80:u0|IR[4]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; -0.500       ; 3.938      ; 2.962      ;
7146
; -0.694 ; T80se:z80_inst|MREQ_n ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a3~porta_address_reg0 ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 4.118      ; 3.663      ;
7147
; -0.694 ; T80se:z80_inst|MREQ_n ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a3~porta_datain_reg0  ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 4.120      ; 3.665      ;
7148
; -0.694 ; T80se:z80_inst|MREQ_n ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a3~porta_we_reg       ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 4.118      ; 3.663      ;
7149
; -0.682 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|DI_Reg[4]                                                                                      ; T80se:z80_inst|MREQ_n ; SW[16]      ; -0.500       ; 3.938      ; 2.975      ;
7150
; -0.668 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|DI_Reg[7]                                                                                      ; T80se:z80_inst|MREQ_n ; SW[16]      ; -0.500       ; 3.938      ; 2.989      ;
7151
; -0.664 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|DI_Reg[2]                                                                                      ; T80se:z80_inst|MREQ_n ; SW[16]      ; -0.500       ; 3.938      ; 2.993      ;
7152
; -0.657 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|DI_Reg[5]                                                                                      ; T80se:z80_inst|MREQ_n ; SW[16]      ; -0.500       ; 3.938      ; 3.000      ;
7153
; -0.655 ; T80se:z80_inst|MREQ_n ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a0~porta_address_reg0 ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 4.098      ; 3.682      ;
7154
; -0.655 ; T80se:z80_inst|MREQ_n ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a0~porta_datain_reg0  ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 4.100      ; 3.684      ;
7155
; -0.655 ; T80se:z80_inst|MREQ_n ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a0~porta_we_reg       ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 4.098      ; 3.682      ;
7156
; -0.654 ; T80se:z80_inst|MREQ_n ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a7~porta_we_reg       ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 4.099      ; 3.684      ;
7157
; -0.652 ; T80se:z80_inst|MREQ_n ; \pinout_process:LEDR_sig[2]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 3.924      ; 3.491      ;
7158
; -0.646 ; T80se:z80_inst|MREQ_n ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a2~porta_address_reg0 ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 4.100      ; 3.693      ;
7159
; -0.646 ; T80se:z80_inst|MREQ_n ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a2~porta_datain_reg0  ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 4.102      ; 3.695      ;
7160
; -0.646 ; T80se:z80_inst|MREQ_n ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a2~porta_we_reg       ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 4.100      ; 3.693      ;
7161
; -0.643 ; T80se:z80_inst|MREQ_n ; \pinout_process:LEDR_sig[0]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 3.940      ; 3.516      ;
7162
; -0.643 ; T80se:z80_inst|MREQ_n ; \pinout_process:LEDR_sig[1]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 3.940      ; 3.516      ;
7163
; -0.643 ; T80se:z80_inst|MREQ_n ; \pinout_process:LEDR_sig[3]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 3.940      ; 3.516      ;
7164
; -0.643 ; T80se:z80_inst|MREQ_n ; \pinout_process:LEDR_sig[4]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 3.940      ; 3.516      ;
7165
; -0.643 ; T80se:z80_inst|MREQ_n ; \pinout_process:LEDR_sig[5]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 3.940      ; 3.516      ;
7166
; -0.643 ; T80se:z80_inst|MREQ_n ; \pinout_process:LEDR_sig[7]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 3.940      ; 3.516      ;
7167
; -0.643 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|T80:u0|IR[7]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; -0.500       ; 3.944      ; 3.020      ;
7168
; -0.633 ; T80se:z80_inst|MREQ_n ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a7~porta_address_reg0 ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 4.099      ; 3.705      ;
7169
; -0.633 ; T80se:z80_inst|MREQ_n ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a7~porta_datain_reg0  ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 4.101      ; 3.707      ;
7170
; -0.619 ; T80se:z80_inst|MREQ_n ; \pinout_process:LEDR_sig[6]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 3.931      ; 3.531      ;
7171
; -0.579 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|T80:u0|IR[2]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; -0.500       ; 3.943      ; 3.083      ;
7172
; -0.575 ; \random:rand_temp[11] ; T80se:z80_inst|T80:u0|IR[3]                                                                                   ; CLOCK_50              ; SW[16]      ; 0.000        ; 2.427      ; 1.976      ;
7173
; -0.547 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|T80:u0|IR[6]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; -0.500       ; 3.938      ; 3.110      ;
7174
; -0.507 ; T80se:z80_inst|MREQ_n ; \pinout_process:LEDR_sig[8]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 3.940      ; 3.652      ;
7175
; -0.507 ; T80se:z80_inst|MREQ_n ; \pinout_process:LEDR_sig[9]                                                                                   ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 3.940      ; 3.652      ;
7176
; -0.507 ; T80se:z80_inst|MREQ_n ; \pinout_process:LEDR_sig[10]                                                                                  ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 3.940      ; 3.652      ;
7177
; -0.507 ; T80se:z80_inst|MREQ_n ; \pinout_process:LEDR_sig[11]                                                                                  ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 3.940      ; 3.652      ;
7178
; -0.507 ; T80se:z80_inst|MREQ_n ; \pinout_process:LEDR_sig[12]                                                                                  ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 3.940      ; 3.652      ;
7179
; -0.507 ; T80se:z80_inst|MREQ_n ; \pinout_process:LEDR_sig[13]                                                                                  ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 3.940      ; 3.652      ;
7180
; -0.507 ; T80se:z80_inst|MREQ_n ; \pinout_process:LEDR_sig[14]                                                                                  ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 3.940      ; 3.652      ;
7181
; -0.507 ; T80se:z80_inst|MREQ_n ; \pinout_process:LEDR_sig[15]                                                                                  ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 3.940      ; 3.652      ;
7182
; -0.466 ; \random:rand_temp[10] ; T80se:z80_inst|DI_Reg[2]                                                                                      ; CLOCK_50              ; SW[16]      ; 0.000        ; 2.428      ; 2.086      ;
7183
; -0.446 ; \random:rand_temp[12] ; T80se:z80_inst|T80:u0|IR[4]                                                                                   ; CLOCK_50              ; SW[16]      ; 0.000        ; 2.428      ; 2.106      ;
7184
; -0.443 ; \random:rand_temp[11] ; T80se:z80_inst|DI_Reg[3]                                                                                      ; CLOCK_50              ; SW[16]      ; 0.000        ; 2.428      ; 2.109      ;
7185
; -0.433 ; \random:rand_temp[13] ; T80se:z80_inst|T80:u0|IR[5]                                                                                   ; CLOCK_50              ; SW[16]      ; 0.000        ; 2.428      ; 2.119      ;
7186
; -0.426 ; \random:rand_temp[8]  ; T80se:z80_inst|T80:u0|IR[0]                                                                                   ; CLOCK_50              ; SW[16]      ; 0.000        ; 2.433      ; 2.131      ;
7187
; -0.425 ; \random:rand_temp[6]  ; T80se:z80_inst|DI_Reg[6]                                                                                      ; CLOCK_50              ; SW[16]      ; 0.000        ; 2.428      ; 2.127      ;
7188
; -0.423 ; ps2_ascii_reg1[5]     ; ps2_ascii_reg[5]                                                                                              ; CLOCK_50              ; SW[16]      ; 0.000        ; 2.445      ; 2.146      ;
7189
; -0.422 ; ps2_ascii_reg1[2]     ; ps2_ascii_reg[2]                                                                                              ; CLOCK_50              ; SW[16]      ; 0.000        ; 2.428      ; 2.130      ;
7190
; -0.416 ; \random:rand_temp[12] ; T80se:z80_inst|DI_Reg[4]                                                                                      ; CLOCK_50              ; SW[16]      ; 0.000        ; 2.428      ; 2.136      ;
7191
; -0.414 ; T80se:z80_inst|MREQ_n ; T80se:z80_inst|DI_Reg[6]                                                                                      ; T80se:z80_inst|MREQ_n ; SW[16]      ; -0.500       ; 3.938      ; 3.243      ;
7192
; -0.413 ; ps2_ascii_reg1[1]     ; ps2_ascii_reg[1]                                                                                              ; CLOCK_50              ; SW[16]      ; 0.000        ; 2.437      ; 2.148      ;
7193
; -0.403 ; ps2_ascii_reg1[3]     ; ps2_ascii_reg[3]                                                                                              ; CLOCK_50              ; SW[16]      ; 0.000        ; 2.437      ; 2.158      ;
7194
; -0.401 ; \random:rand_temp[8]  ; T80se:z80_inst|DI_Reg[0]                                                                                      ; CLOCK_50              ; SW[16]      ; 0.000        ; 2.429      ; 2.152      ;
7195
; -0.393 ; \random:rand_temp[13] ; T80se:z80_inst|DI_Reg[5]                                                                                      ; CLOCK_50              ; SW[16]      ; 0.000        ; 2.428      ; 2.159      ;
7196
; -0.389 ; T80se:z80_inst|MREQ_n ; \pinout_process:NUMBER2_sig[0]                                                                                ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 3.878      ; 3.708      ;
7197
; -0.389 ; T80se:z80_inst|MREQ_n ; \pinout_process:NUMBER2_sig[1]                                                                                ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 3.878      ; 3.708      ;
7198
; -0.389 ; T80se:z80_inst|MREQ_n ; \pinout_process:NUMBER2_sig[2]                                                                                ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 3.878      ; 3.708      ;
7199
; -0.389 ; T80se:z80_inst|MREQ_n ; \pinout_process:NUMBER2_sig[3]                                                                                ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 3.878      ; 3.708      ;
7200
; -0.389 ; T80se:z80_inst|MREQ_n ; \pinout_process:NUMBER3_sig[0]                                                                                ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 3.878      ; 3.708      ;
7201
; -0.389 ; T80se:z80_inst|MREQ_n ; \pinout_process:NUMBER3_sig[1]                                                                                ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 3.878      ; 3.708      ;
7202
; -0.389 ; T80se:z80_inst|MREQ_n ; \pinout_process:NUMBER3_sig[2]                                                                                ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 3.878      ; 3.708      ;
7203
; -0.389 ; T80se:z80_inst|MREQ_n ; \pinout_process:NUMBER3_sig[3]                                                                                ; T80se:z80_inst|MREQ_n ; SW[16]      ; 0.000        ; 3.878      ; 3.708      ;
7204
; -0.387 ; ps2_ascii_reg1[6]     ; ps2_ascii_reg[6]                                                                                              ; CLOCK_50              ; SW[16]      ; 0.000        ; 2.445      ; 2.182      ;
7205
; -0.386 ; \random:rand_temp[4]  ; T80se:z80_inst|T80:u0|IR[4]                                                                                   ; CLOCK_50              ; SW[16]      ; 0.000        ; 2.428      ; 2.166      ;
7206
+--------+-----------------------+---------------------------------------------------------------------------------------------------------------+-----------------------+-------------+--------------+------------+------------+
7207
 
7208
 
7209
+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
7210
; Fast 1200mV 0C Model Hold: 'ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered'                                                                                                                                                                                            ;
7211
+--------+--------------------------------------------------+----------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+
7212
; Slack  ; From Node                                        ; To Node                                            ; Launch Clock                                                ; Latch Clock                                                 ; Relationship ; Clock Skew ; Data Delay ;
7213
+--------+--------------------------------------------------+----------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+
7214
; -0.426 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set             ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 2.303      ; 2.076      ;
7215
; 0.182  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.041      ; 0.307      ;
7216
; 0.182  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.041      ; 0.307      ;
7217
; 0.189  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.041      ; 0.314      ;
7218
; 0.189  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.042      ; 0.315      ;
7219
; 0.199  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.042      ; 0.325      ;
7220
; 0.201  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.042      ; 0.327      ;
7221
; 0.259  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.042      ; 0.385      ;
7222
; 0.259  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.042      ; 0.385      ;
7223
; 0.260  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.042      ; 0.386      ;
7224
; 0.261  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.042      ; 0.387      ;
7225
; 0.318  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.042      ; 0.444      ;
7226
; 0.320  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set             ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; -0.500       ; 2.303      ; 2.322      ;
7227
; 0.412  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.041      ; 0.537      ;
7228
; 0.423  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.041      ; 0.548      ;
7229
; 0.424  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.041      ; 0.549      ;
7230
; 0.473  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.044      ; 0.601      ;
7231
; 0.483  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.041      ; 0.608      ;
7232
; 0.485  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.043      ; 0.612      ;
7233
; 0.486  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.044      ; 0.614      ;
7234
; 0.490  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.041      ; 0.615      ;
7235
; 0.516  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.046      ; 0.646      ;
7236
; 0.534  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.041      ; 0.659      ;
7237
; 0.549  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.041      ; 0.674      ;
7238
; 0.549  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.041      ; 0.674      ;
7239
; 0.585  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.044      ; 0.713      ;
7240
; 0.589  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.044      ; 0.717      ;
7241
; 0.619  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.041      ; 0.744      ;
7242
; 0.620  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.041      ; 0.745      ;
7243
; 0.668  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.041      ; 0.793      ;
7244
; 0.669  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.041      ; 0.794      ;
7245
; 0.720  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.041      ; 0.845      ;
7246
; 0.720  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.041      ; 0.845      ;
7247
; 0.720  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.041      ; 0.845      ;
7248
; 0.720  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.041      ; 0.845      ;
7249
; 0.748  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.041      ; 0.873      ;
7250
; 0.749  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.041      ; 0.874      ;
7251
; 0.751  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.041      ; 0.876      ;
7252
; 0.754  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.041      ; 0.879      ;
7253
; 0.777  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.041      ; 0.902      ;
7254
; 0.800  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.044      ; 0.928      ;
7255
; 0.800  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.041      ; 0.925      ;
7256
; 0.806  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.042      ; 0.932      ;
7257
; 0.806  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.042      ; 0.932      ;
7258
; 0.806  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.042      ; 0.932      ;
7259
; 0.806  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.042      ; 0.932      ;
7260
; 0.806  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.042      ; 0.932      ;
7261
; 0.806  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.042      ; 0.932      ;
7262
; 0.806  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.042      ; 0.932      ;
7263
; 0.806  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.042      ; 0.932      ;
7264
; 0.806  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.042      ; 0.932      ;
7265
; 0.815  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.046      ; 0.945      ;
7266
; 0.903  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.042      ; 1.029      ;
7267
; 0.903  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.042      ; 1.029      ;
7268
; 0.903  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.042      ; 1.029      ;
7269
; 0.903  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.042      ; 1.029      ;
7270
; 0.903  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.042      ; 1.029      ;
7271
; 0.903  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.042      ; 1.029      ;
7272
; 0.903  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.042      ; 1.029      ;
7273
; 0.903  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.042      ; 1.029      ;
7274
; 0.903  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.042      ; 1.029      ;
7275
; 0.918  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set    ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.041      ; 1.043      ;
7276
; 0.934  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.046      ; 1.064      ;
7277
; 0.944  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.044      ; 1.072      ;
7278
; 0.944  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.044      ; 1.072      ;
7279
; 0.944  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.044      ; 1.072      ;
7280
; 0.944  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.044      ; 1.072      ;
7281
; 0.944  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.044      ; 1.072      ;
7282
; 0.948  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.046      ; 1.078      ;
7283
; 0.957  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.042      ; 1.083      ;
7284
; 0.957  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.042      ; 1.083      ;
7285
; 0.957  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.042      ; 1.083      ;
7286
; 0.957  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.042      ; 1.083      ;
7287
; 0.957  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.042      ; 1.083      ;
7288
; 0.957  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.042      ; 1.083      ;
7289
; 0.957  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.042      ; 1.083      ;
7290
; 0.957  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.042      ; 1.083      ;
7291
; 0.957  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.042      ; 1.083      ;
7292
; 1.027  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.043      ; 1.154      ;
7293
; 1.029  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.042      ; 1.155      ;
7294
; 1.029  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.042      ; 1.155      ;
7295
; 1.029  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.042      ; 1.155      ;
7296
; 1.029  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.042      ; 1.155      ;
7297
; 1.029  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.042      ; 1.155      ;
7298
; 1.029  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.042      ; 1.155      ;
7299
; 1.029  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.042      ; 1.155      ;
7300
; 1.029  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.042      ; 1.155      ;
7301
; 1.029  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.042      ; 1.155      ;
7302
; 1.034  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.042      ; 1.160      ;
7303
; 1.034  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.042      ; 1.160      ;
7304
; 1.034  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.042      ; 1.160      ;
7305
; 1.034  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.042      ; 1.160      ;
7306
; 1.034  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.042      ; 1.160      ;
7307
; 1.034  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.042      ; 1.160      ;
7308
; 1.034  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.042      ; 1.160      ;
7309
; 1.034  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.042      ; 1.160      ;
7310
; 1.034  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.042      ; 1.160      ;
7311
; 1.056  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.046      ; 1.186      ;
7312
; 1.066  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.044      ; 1.194      ;
7313
; 1.066  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 0.000        ; 0.044      ; 1.194      ;
7314
+--------+--------------------------------------------------+----------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+
7315
 
7316
 
7317
+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
7318
; Fast 1200mV 0C Model Hold: 'CLOCK_50'                                                                                                                                                                                                                   ;
7319
+--------+-------------------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+-------------+--------------+------------+------------+
7320
; Slack  ; From Node                                                   ; To Node                                                     ; Launch Clock                                                ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
7321
+--------+-------------------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+-------------+--------------+------------+------------+
7322
; -0.335 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50    ; 0.000        ; 1.570      ; 1.454      ;
7323
; -0.204 ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|LCD_EN                                         ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 1.543      ; 1.548      ;
7324
; -0.157 ; clk_div:clkdiv_inst|clock_25Mhz_int                         ; clk_div:clkdiv_inst|clock_25Mhz_int                         ; clk_div:clkdiv_inst|clock_25Mhz_int                         ; CLOCK_50    ; 0.000        ; 1.560      ; 1.612      ;
7325
; -0.135 ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|LCD_ON                                         ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 1.551      ; 1.625      ;
7326
; -0.074 ; clk_div:clkdiv_inst|clock_357Mhz_int                        ; clk_div:clkdiv_inst|clock_357Mhz                            ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.445      ; 0.455      ;
7327
; -0.074 ; clk_div:clkdiv_inst|clock_25Mhz_int                         ; clk_div:clkdiv_inst|clock_25MHz                             ; clk_div:clkdiv_inst|clock_25Mhz_int                         ; CLOCK_50    ; 0.000        ; 1.560      ; 1.695      ;
7328
; -0.074 ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|next_command.return_home                       ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 1.544      ; 1.679      ;
7329
; -0.073 ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|state.reset1                                   ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 1.543      ; 1.679      ;
7330
; -0.073 ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|next_command.line2                             ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 1.543      ; 1.679      ;
7331
; -0.073 ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|state.line2                                    ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 1.543      ; 1.679      ;
7332
; -0.073 ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|next_command.mode_set                          ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 1.543      ; 1.679      ;
7333
; -0.073 ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|state.mode_set                                 ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 1.543      ; 1.679      ;
7334
; -0.073 ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|data_bus_value[7]                              ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 1.543      ; 1.679      ;
7335
; -0.034 ; clk_div:clkdiv_inst|clock_10Mhz_int                         ; clk_div:clkdiv_inst|clock_10MHz                             ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.445      ; 0.495      ;
7336
; -0.031 ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|state.hold                                     ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 1.540      ; 1.718      ;
7337
; -0.031 ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|state.return_home                              ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 1.540      ; 1.718      ;
7338
; -0.031 ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|next_command.print_string                      ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 1.540      ; 1.718      ;
7339
; -0.031 ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|state.print_string                             ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 1.540      ; 1.718      ;
7340
; -0.025 ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|state.drop_LCD_EN                              ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 1.541      ; 1.725      ;
7341
; -0.025 ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|next_command.reset2                            ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 1.541      ; 1.725      ;
7342
; -0.025 ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|state.reset2                                   ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 1.541      ; 1.725      ;
7343
; -0.025 ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|next_command.reset3                            ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 1.541      ; 1.725      ;
7344
; -0.025 ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|state.reset3                                   ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 1.541      ; 1.725      ;
7345
; -0.025 ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|next_command.func_set                          ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 1.541      ; 1.725      ;
7346
; -0.025 ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|state.func_set                                 ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 1.541      ; 1.725      ;
7347
; -0.025 ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|next_command.display_off                       ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 1.541      ; 1.725      ;
7348
; -0.025 ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|state.display_off                              ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 1.541      ; 1.725      ;
7349
; -0.025 ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|next_command.display_clear                     ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 1.541      ; 1.725      ;
7350
; -0.025 ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|state.display_clear                            ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 1.541      ; 1.725      ;
7351
; -0.025 ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|next_command.display_on                        ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 1.541      ; 1.725      ;
7352
; -0.025 ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|state.display_on                               ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 1.541      ; 1.725      ;
7353
; -0.025 ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|LCD_RS                                         ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 1.541      ; 1.725      ;
7354
; -0.020 ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|data_bus_value[0]                              ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 1.551      ; 1.740      ;
7355
; -0.020 ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|data_bus_value[1]                              ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 1.551      ; 1.740      ;
7356
; -0.020 ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|data_bus_value[2]                              ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 1.551      ; 1.740      ;
7357
; -0.020 ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|data_bus_value[3]                              ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 1.551      ; 1.740      ;
7358
; -0.020 ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|data_bus_value[6]                              ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 1.551      ; 1.740      ;
7359
; -0.012 ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|data_bus_value[4]                              ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 1.540      ; 1.737      ;
7360
; -0.012 ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|data_bus_value[5]                              ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 1.540      ; 1.737      ;
7361
; 0.011  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|char_count_sig[3]                              ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 1.544      ; 1.764      ;
7362
; 0.011  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|char_count_sig[4]                              ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 1.544      ; 1.764      ;
7363
; 0.011  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|char_count_sig[1]                              ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 1.544      ; 1.764      ;
7364
; 0.011  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|char_count_sig[2]                              ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 1.544      ; 1.764      ;
7365
; 0.011  ; LCD:lcd_inst|clk_400hz_enable                               ; LCD:lcd_inst|char_count_sig[0]                              ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 1.544      ; 1.764      ;
7366
; 0.037  ; clk_div:clkdiv_inst|clock_100hz_int                         ; clk_div:clkdiv_inst|clock_100Hz                             ; clk_div:clkdiv_inst|clock_1Khz_int                          ; CLOCK_50    ; 0.000        ; 0.936      ; 1.077      ;
7367
; 0.180  ; clk_div:clkdiv_inst|count_10Mhz[1]                          ; clk_div:clkdiv_inst|count_10Mhz[1]                          ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.043      ; 0.307      ;
7368
; 0.180  ; clk_div:clkdiv_inst|count_10Mhz[2]                          ; clk_div:clkdiv_inst|count_10Mhz[2]                          ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.043      ; 0.307      ;
7369
; 0.180  ; clk_div:clkdiv_inst|clock_10Mhz_int                         ; clk_div:clkdiv_inst|clock_10Mhz_int                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.043      ; 0.307      ;
7370
; 0.180  ; clk_div:clkdiv_inst|count_357Mhz[1]                         ; clk_div:clkdiv_inst|count_357Mhz[1]                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.043      ; 0.307      ;
7371
; 0.180  ; clk_div:clkdiv_inst|count_357Mhz[2]                         ; clk_div:clkdiv_inst|count_357Mhz[2]                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.043      ; 0.307      ;
7372
; 0.180  ; clk_div:clkdiv_inst|count_357Mhz[3]                         ; clk_div:clkdiv_inst|count_357Mhz[3]                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.043      ; 0.307      ;
7373
; 0.180  ; clk_div:clkdiv_inst|clock_357Mhz_int                        ; clk_div:clkdiv_inst|clock_357Mhz_int                        ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.043      ; 0.307      ;
7374
; 0.182  ; LCD:lcd_inst|next_command.line2                             ; LCD:lcd_inst|next_command.line2                             ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.041      ; 0.307      ;
7375
; 0.182  ; LCD:lcd_inst|next_command.reset2                            ; LCD:lcd_inst|next_command.reset2                            ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.041      ; 0.307      ;
7376
; 0.182  ; LCD:lcd_inst|next_command.reset3                            ; LCD:lcd_inst|next_command.reset3                            ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.041      ; 0.307      ;
7377
; 0.182  ; LCD:lcd_inst|next_command.func_set                          ; LCD:lcd_inst|next_command.func_set                          ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.041      ; 0.307      ;
7378
; 0.182  ; LCD:lcd_inst|next_command.display_off                       ; LCD:lcd_inst|next_command.display_off                       ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.041      ; 0.307      ;
7379
; 0.182  ; LCD:lcd_inst|next_command.display_clear                     ; LCD:lcd_inst|next_command.display_clear                     ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.041      ; 0.307      ;
7380
; 0.182  ; LCD:lcd_inst|next_command.display_on                        ; LCD:lcd_inst|next_command.display_on                        ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.041      ; 0.307      ;
7381
; 0.182  ; LCD:lcd_inst|next_command.mode_set                          ; LCD:lcd_inst|next_command.mode_set                          ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.041      ; 0.307      ;
7382
; 0.182  ; LCD:lcd_inst|data_bus_value[0]                              ; LCD:lcd_inst|data_bus_value[0]                              ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.041      ; 0.307      ;
7383
; 0.182  ; LCD:lcd_inst|data_bus_value[6]                              ; LCD:lcd_inst|data_bus_value[6]                              ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.041      ; 0.307      ;
7384
; 0.182  ; LCD:lcd_inst|data_bus_value[7]                              ; LCD:lcd_inst|data_bus_value[7]                              ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.041      ; 0.307      ;
7385
; 0.182  ; LCD:lcd_inst|LCD_RS                                         ; LCD:lcd_inst|LCD_RS                                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.041      ; 0.307      ;
7386
; 0.182  ; LCD:lcd_inst|LCD_ON                                         ; LCD:lcd_inst|LCD_ON                                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.041      ; 0.307      ;
7387
; 0.187  ; clk_div:clkdiv_inst|count_357Mhz[0]                         ; clk_div:clkdiv_inst|count_357Mhz[0]                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.043      ; 0.314      ;
7388
; 0.188  ; clk_div:clkdiv_inst|count_10Mhz[0]                          ; clk_div:clkdiv_inst|count_10Mhz[0]                          ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.042      ; 0.314      ;
7389
; 0.189  ; LCD:lcd_inst|state.drop_LCD_EN                              ; LCD:lcd_inst|state.drop_LCD_EN                              ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.041      ; 0.314      ;
7390
; 0.193  ; LCD:lcd_inst|next_command.print_string                      ; LCD:lcd_inst|state.print_string                             ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.041      ; 0.318      ;
7391
; 0.193  ; LCD:lcd_inst|state.reset2                                   ; LCD:lcd_inst|next_command.reset3                            ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.041      ; 0.318      ;
7392
; 0.193  ; LCD:lcd_inst|clk_count_400hz[19]                            ; LCD:lcd_inst|clk_count_400hz[19]                            ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.041      ; 0.318      ;
7393
; 0.194  ; LCD:lcd_inst|state.func_set                                 ; LCD:lcd_inst|next_command.display_off                       ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.041      ; 0.319      ;
7394
; 0.199  ; \random:rand_temp[4]                                        ; \random:rand_temp[5]                                        ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.042      ; 0.325      ;
7395
; 0.200  ; \random:rand_temp[3]                                        ; \random:rand_temp[4]                                        ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.042      ; 0.326      ;
7396
; 0.200  ; \random:rand_temp[11]                                       ; \random:rand_temp[12]                                       ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.042      ; 0.326      ;
7397
; 0.201  ; \random:rand_temp[0]                                        ; \random:rand_temp[1]                                        ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.042      ; 0.327      ;
7398
; 0.201  ; \random:rand_temp[1]                                        ; \random:rand_temp[2]                                        ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.042      ; 0.327      ;
7399
; 0.202  ; clk_div:clkdiv_inst|count_357Mhz[3]                         ; clk_div:clkdiv_inst|clock_357Mhz_int                        ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.043      ; 0.329      ;
7400
; 0.202  ; LCD:lcd_inst|state.display_clear                            ; LCD:lcd_inst|next_command.display_on                        ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.041      ; 0.327      ;
7401
; 0.203  ; clk_div:clkdiv_inst|count_357Mhz[0]                         ; clk_div:clkdiv_inst|count_357Mhz[1]                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.043      ; 0.330      ;
7402
; 0.204  ; clk_div:clkdiv_inst|count_357Mhz[1]                         ; clk_div:clkdiv_inst|count_357Mhz[2]                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.043      ; 0.331      ;
7403
; 0.204  ; LCD:lcd_inst|state.display_off                              ; LCD:lcd_inst|next_command.display_clear                     ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.041      ; 0.329      ;
7404
; 0.204  ; clk_div:clkdiv_inst|count_357Mhz[3]                         ; clk_div:clkdiv_inst|count_357Mhz[0]                         ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.043      ; 0.331      ;
7405
; 0.216  ; next_char_sig[3]                                            ; LCD:lcd_inst|data_bus_value[1]                              ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 0.766      ; 1.086      ;
7406
; 0.220  ; next_char_sig[7]                                            ; LCD:lcd_inst|data_bus_value[7]                              ; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50    ; 0.000        ; 0.775      ; 1.099      ;
7407
; 0.223  ; ps2_read                                                    ; ps2_ascii_reg1[3]                                           ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.042      ; 0.349      ;
7408
; 0.224  ; ps2_read                                                    ; ps2_ascii_reg1[0]                                           ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.042      ; 0.350      ;
7409
; 0.248  ; LCD:lcd_inst|next_command.mode_set                          ; LCD:lcd_inst|state.mode_set                                 ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.041      ; 0.373      ;
7410
; 0.249  ; LCD:lcd_inst|next_command.reset2                            ; LCD:lcd_inst|state.reset2                                   ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.041      ; 0.374      ;
7411
; 0.249  ; LCD:lcd_inst|next_command.reset3                            ; LCD:lcd_inst|state.reset3                                   ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.041      ; 0.374      ;
7412
; 0.250  ; LCD:lcd_inst|next_command.display_off                       ; LCD:lcd_inst|state.display_off                              ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.041      ; 0.375      ;
7413
; 0.258  ; \random:rand_temp[10]                                       ; \random:rand_temp[11]                                       ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.042      ; 0.384      ;
7414
; 0.258  ; \random:rand_temp[15]                                       ; \random:rand_temp[0]                                        ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.042      ; 0.384      ;
7415
; 0.259  ; \random:rand_temp[9]                                        ; \random:rand_temp[10]                                       ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.043      ; 0.386      ;
7416
; 0.259  ; \random:rand_temp[2]                                        ; \random:rand_temp[3]                                        ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.042      ; 0.385      ;
7417
; 0.261  ; \random:rand_temp[6]                                        ; \random:rand_temp[7]                                        ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.042      ; 0.387      ;
7418
; 0.264  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[7]             ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[6]             ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.042      ; 0.390      ;
7419
; 0.266  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[5]             ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[4]             ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.042      ; 0.392      ;
7420
; 0.266  ; LCD:lcd_inst|state.reset3                                   ; LCD:lcd_inst|next_command.func_set                          ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.041      ; 0.391      ;
7421
; 0.267  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[3]             ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|filter[2]             ; CLOCK_50                                                    ; CLOCK_50    ; 0.000        ; 0.042      ; 0.393      ;
7422
+--------+-------------------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+-------------+--------------+------------+------------+
7423
 
7424
 
7425
+---------------------------------------------------------------------------------------------------------------------------------------+
7426
; Fast 1200mV 0C Model Hold: 'T80se:z80_inst|MREQ_n'                                                                                    ;
7427
+--------+-----------------------------+----------------+--------------+-----------------------+--------------+------------+------------+
7428
; Slack  ; From Node                   ; To Node        ; Launch Clock ; Latch Clock           ; Relationship ; Clock Skew ; Data Delay ;
7429
+--------+-----------------------------+----------------+--------------+-----------------------+--------------+------------+------------+
7430
; -0.248 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[19][6] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.826      ; 1.108      ;
7431
; -0.220 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[27][4] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.751      ; 1.061      ;
7432
; -0.182 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[19][1] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.836      ; 1.184      ;
7433
; -0.177 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[19][7] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.834      ; 1.187      ;
7434
; -0.177 ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[19][2] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.752      ; 1.105      ;
7435
; -0.124 ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[27][6] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.701      ; 1.107      ;
7436
; -0.117 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[19][3] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.757      ; 1.170      ;
7437
; -0.094 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[19][0] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.830      ; 1.266      ;
7438
; -0.091 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[27][5] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.757      ; 1.196      ;
7439
; -0.070 ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[19][5] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.735      ; 1.195      ;
7440
; -0.062 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[27][1] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.711      ; 1.179      ;
7441
; -0.052 ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[27][7] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.709      ; 1.187      ;
7442
; -0.040 ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[27][0] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.718      ; 1.208      ;
7443
; -0.037 ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[31][1] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.841      ; 1.334      ;
7444
; -0.037 ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[19][4] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.730      ; 1.223      ;
7445
; -0.037 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[27][3] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.722      ; 1.215      ;
7446
; -0.029 ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[23][3] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.391      ; 0.892      ;
7447
; 0.009  ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[28][3] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.603      ; 1.142      ;
7448
; 0.020  ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[20][5] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.652      ; 1.202      ;
7449
; 0.024  ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[28][1] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.504      ; 1.058      ;
7450
; 0.027  ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[23][5] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.371      ; 0.928      ;
7451
; 0.033  ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[17][5] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.732      ; 1.295      ;
7452
; 0.040  ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[31][2] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.819      ; 1.389      ;
7453
; 0.042  ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[20][3] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.678      ; 1.250      ;
7454
; 0.044  ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[31][6] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.831      ; 1.405      ;
7455
; 0.058  ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[6][2]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.086      ; 0.674      ;
7456
; 0.061  ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[28][2] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.580      ; 1.171      ;
7457
; 0.063  ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[6][6]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.061      ; 0.654      ;
7458
; 0.064  ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[23][0] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.303      ; 0.897      ;
7459
; 0.067  ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[25][3] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.740      ; 1.337      ;
7460
; 0.067  ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[28][5] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.517      ; 1.114      ;
7461
; 0.072  ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[27][2] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.687      ; 1.289      ;
7462
; 0.084  ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[31][5] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.865      ; 1.479      ;
7463
; 0.084  ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[28][0] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.546      ; 1.160      ;
7464
; 0.085  ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[31][4] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.795      ; 1.410      ;
7465
; 0.086  ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[14][6] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.284      ; 0.900      ;
7466
; 0.088  ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[17][3] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.722      ; 1.340      ;
7467
; 0.094  ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[31][0] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.860      ; 1.484      ;
7468
; 0.097  ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[31][3] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.836      ; 1.463      ;
7469
; 0.105  ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[17][7] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.730      ; 1.365      ;
7470
; 0.117  ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[6][1]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.176      ; 0.823      ;
7471
; 0.120  ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[15][3] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.119      ; 0.769      ;
7472
; 0.121  ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[6][3]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.097      ; 0.748      ;
7473
; 0.123  ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[18][5] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.545      ; 1.198      ;
7474
; 0.127  ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[17][0] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.722      ; 1.379      ;
7475
; 0.128  ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[16][5] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.876      ; 1.534      ;
7476
; 0.131  ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[28][4] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.511      ; 1.172      ;
7477
; 0.132  ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[23][7] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.302      ; 0.964      ;
7478
; 0.137  ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[31][7] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.840      ; 1.507      ;
7479
; 0.138  ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[7][3]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 0.960      ; 0.628      ;
7480
; 0.141  ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[6][0]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.186      ; 0.857      ;
7481
; 0.142  ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[20][0] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.659      ; 1.331      ;
7482
; 0.144  ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[17][6] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.739      ; 1.413      ;
7483
; 0.146  ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[16][7] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.803      ; 1.479      ;
7484
; 0.148  ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[16][3] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.884      ; 1.562      ;
7485
; 0.150  ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[28][6] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.508      ; 1.188      ;
7486
; 0.152  ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[6][5]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.176      ; 0.858      ;
7487
; 0.156  ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[25][2] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.725      ; 1.411      ;
7488
; 0.158  ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[17][2] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.721      ; 1.409      ;
7489
; 0.158  ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[1][1]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 0.902      ; 0.590      ;
7490
; 0.161  ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[16][0] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.809      ; 1.500      ;
7491
; 0.162  ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[1][5]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 0.905      ; 0.597      ;
7492
; 0.165  ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[23][4] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.366      ; 1.061      ;
7493
; 0.168  ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[15][6] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.202      ; 0.900      ;
7494
; 0.169  ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[30][3] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.385      ; 1.084      ;
7495
; 0.170  ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[23][6] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.316      ; 1.016      ;
7496
; 0.175  ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[16][1] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.864      ; 1.569      ;
7497
; 0.178  ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[1][7]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 0.666      ; 0.374      ;
7498
; 0.178  ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[20][2] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.582      ; 1.290      ;
7499
; 0.181  ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[16][6] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.805      ; 1.516      ;
7500
; 0.182  ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[21][4] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.429      ; 1.141      ;
7501
; 0.184  ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[6][4]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.417      ; 1.131      ;
7502
; 0.188  ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[23][2] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.387      ; 1.105      ;
7503
; 0.191  ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[22][4] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.270      ; 0.991      ;
7504
; 0.192  ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[12][7] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.124      ; 0.846      ;
7505
; 0.193  ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[18][6] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.539      ; 1.262      ;
7506
; 0.194  ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[17][1] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.722      ; 1.446      ;
7507
; 0.198  ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[15][0] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.138      ; 0.866      ;
7508
; 0.200  ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[16][2] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.797      ; 1.527      ;
7509
; 0.201  ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[14][1] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.108      ; 0.839      ;
7510
; 0.204  ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[25][0] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.644      ; 1.378      ;
7511
; 0.204  ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[20][6] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.677      ; 1.411      ;
7512
; 0.205  ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[21][6] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.526      ; 1.261      ;
7513
; 0.207  ; T80se:z80_inst|T80:u0|DO[0] ; lcdvram[1][0]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 0.898      ; 0.635      ;
7514
; 0.208  ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[9][3]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 0.818      ; 0.556      ;
7515
; 0.208  ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[15][7] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.145      ; 0.883      ;
7516
; 0.210  ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[4][4]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 0.912      ; 0.652      ;
7517
; 0.215  ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[28][7] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.515      ; 1.260      ;
7518
; 0.217  ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[9][1]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 0.733      ; 0.480      ;
7519
; 0.217  ; T80se:z80_inst|T80:u0|DO[4] ; lcdvram[1][4]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 0.902      ; 0.649      ;
7520
; 0.217  ; T80se:z80_inst|T80:u0|DO[3] ; lcdvram[12][3] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.010      ; 0.757      ;
7521
; 0.219  ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[25][1] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.652      ; 1.401      ;
7522
; 0.219  ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[12][1] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.089      ; 0.838      ;
7523
; 0.221  ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[18][2] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.540      ; 1.291      ;
7524
; 0.223  ; T80se:z80_inst|T80:u0|DO[6] ; lcdvram[1][6]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 0.894      ; 0.647      ;
7525
; 0.224  ; T80se:z80_inst|T80:u0|DO[5] ; lcdvram[21][5] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.447      ; 1.201      ;
7526
; 0.226  ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[7][1]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.065      ; 0.821      ;
7527
; 0.226  ; T80se:z80_inst|T80:u0|DO[7] ; lcdvram[20][7] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.593      ; 1.349      ;
7528
; 0.229  ; T80se:z80_inst|T80:u0|DO[1] ; lcdvram[23][1] ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 1.285      ; 1.044      ;
7529
; 0.231  ; T80se:z80_inst|T80:u0|DO[2] ; lcdvram[1][2]  ; SW[16]       ; T80se:z80_inst|MREQ_n ; -0.500       ; 0.894      ; 0.655      ;
7530
+--------+-----------------------------+----------------+--------------+-----------------------+--------------+------------+------------+
7531
 
7532
 
7533
+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
7534
; Fast 1200mV 0C Model Hold: 'clk_div:clkdiv_inst|clock_100Khz_int'                                                                                                                                         ;
7535
+--------+-------------------------------------+-------------------------------------+--------------------------------------+--------------------------------------+--------------+------------+------------+
7536
; Slack  ; From Node                           ; To Node                             ; Launch Clock                         ; Latch Clock                          ; Relationship ; Clock Skew ; Data Delay ;
7537
+--------+-------------------------------------+-------------------------------------+--------------------------------------+--------------------------------------+--------------+------------+------------+
7538
; -0.008 ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int  ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.000        ; 1.158      ; 1.339      ;
7539
; 0.201  ; clk_div:clkdiv_inst|count_10Khz[2]  ; clk_div:clkdiv_inst|count_10Khz[2]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.000        ; 0.022      ; 0.307      ;
7540
; 0.201  ; clk_div:clkdiv_inst|count_10Khz[1]  ; clk_div:clkdiv_inst|count_10Khz[1]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.000        ; 0.022      ; 0.307      ;
7541
; 0.208  ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.000        ; 0.022      ; 0.314      ;
7542
; 0.214  ; clk_div:clkdiv_inst|count_10Khz[1]  ; clk_div:clkdiv_inst|count_10Khz[2]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.000        ; 0.024      ; 0.322      ;
7543
; 0.284  ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|count_10Khz[1]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.000        ; 0.024      ; 0.392      ;
7544
; 0.289  ; clk_div:clkdiv_inst|count_10Khz[2]  ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.000        ; 0.024      ; 0.397      ;
7545
; 0.316  ; clk_div:clkdiv_inst|count_10Khz[1]  ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.000        ; 0.024      ; 0.424      ;
7546
; 0.324  ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|count_10Khz[2]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.000        ; 0.024      ; 0.432      ;
7547
; 0.365  ; clk_div:clkdiv_inst|count_10Khz[1]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.000        ; 0.024      ; 0.473      ;
7548
; 0.429  ; clk_div:clkdiv_inst|count_10Khz[2]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.000        ; 0.024      ; 0.537      ;
7549
; 0.495  ; clk_div:clkdiv_inst|count_10Khz[0]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; 0.000        ; 0.024      ; 0.603      ;
7550
; 0.638  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int  ; clk_div:clkdiv_inst|clock_100Khz_int ; -0.500       ; 1.158      ; 1.485      ;
7551
+--------+-------------------------------------+-------------------------------------+--------------------------------------+--------------------------------------+--------------+------------+------------+
7552
 
7553
 
7554
+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
7555
; Fast 1200mV 0C Model Hold: 'clk_div:clkdiv_inst|clock_10Khz_int'                                                                                                                                     ;
7556
+-------+------------------------------------+------------------------------------+-------------------------------------+-------------------------------------+--------------+------------+------------+
7557
; Slack ; From Node                          ; To Node                            ; Launch Clock                        ; Latch Clock                         ; Relationship ; Clock Skew ; Data Delay ;
7558
+-------+------------------------------------+------------------------------------+-------------------------------------+-------------------------------------+--------------+------------+------------+
7559
; 0.010 ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int  ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.000        ; 0.446      ; 0.645      ;
7560
; 0.201 ; clk_div:clkdiv_inst|count_1Khz[2]  ; clk_div:clkdiv_inst|count_1Khz[2]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.000        ; 0.022      ; 0.307      ;
7561
; 0.201 ; clk_div:clkdiv_inst|count_1Khz[1]  ; clk_div:clkdiv_inst|count_1Khz[1]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.000        ; 0.022      ; 0.307      ;
7562
; 0.208 ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.000        ; 0.022      ; 0.314      ;
7563
; 0.214 ; clk_div:clkdiv_inst|count_1Khz[1]  ; clk_div:clkdiv_inst|count_1Khz[2]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.000        ; 0.024      ; 0.322      ;
7564
; 0.286 ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|count_1Khz[1]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.000        ; 0.024      ; 0.394      ;
7565
; 0.289 ; clk_div:clkdiv_inst|count_1Khz[2]  ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.000        ; 0.024      ; 0.397      ;
7566
; 0.315 ; clk_div:clkdiv_inst|count_1Khz[1]  ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.000        ; 0.024      ; 0.423      ;
7567
; 0.324 ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|count_1Khz[2]  ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.000        ; 0.024      ; 0.432      ;
7568
; 0.367 ; clk_div:clkdiv_inst|count_1Khz[1]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.000        ; 0.024      ; 0.475      ;
7569
; 0.428 ; clk_div:clkdiv_inst|count_1Khz[2]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.000        ; 0.024      ; 0.536      ;
7570
; 0.498 ; clk_div:clkdiv_inst|count_1Khz[0]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; clk_div:clkdiv_inst|clock_10Khz_int ; 0.000        ; 0.024      ; 0.606      ;
7571
; 0.581 ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int  ; clk_div:clkdiv_inst|clock_10Khz_int ; -0.500       ; 0.446      ; 0.716      ;
7572
+-------+------------------------------------+------------------------------------+-------------------------------------+-------------------------------------+--------------+------------+------------+
7573
 
7574
 
7575
+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
7576
; Fast 1200mV 0C Model Hold: 'clk_div:clkdiv_inst|clock_1Mhz_int'                                                                                                                                          ;
7577
+-------+--------------------------------------+--------------------------------------+--------------------------------------+------------------------------------+--------------+------------+------------+
7578
; Slack ; From Node                            ; To Node                              ; Launch Clock                         ; Latch Clock                        ; Relationship ; Clock Skew ; Data Delay ;
7579
+-------+--------------------------------------+--------------------------------------+--------------------------------------+------------------------------------+--------------+------------+------------+
7580
; 0.061 ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.000        ; 0.500      ; 0.750      ;
7581
; 0.201 ; clk_div:clkdiv_inst|count_100Khz[2]  ; clk_div:clkdiv_inst|count_100Khz[2]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.000        ; 0.022      ; 0.307      ;
7582
; 0.201 ; clk_div:clkdiv_inst|count_100Khz[1]  ; clk_div:clkdiv_inst|count_100Khz[1]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.000        ; 0.022      ; 0.307      ;
7583
; 0.208 ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.000        ; 0.022      ; 0.314      ;
7584
; 0.216 ; clk_div:clkdiv_inst|count_100Khz[1]  ; clk_div:clkdiv_inst|count_100Khz[2]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.000        ; 0.023      ; 0.323      ;
7585
; 0.222 ; clk_div:clkdiv_inst|count_100Khz[2]  ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.000        ; 0.023      ; 0.329      ;
7586
; 0.284 ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|count_100Khz[1]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.000        ; 0.023      ; 0.391      ;
7587
; 0.317 ; clk_div:clkdiv_inst|count_100Khz[1]  ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.000        ; 0.023      ; 0.424      ;
7588
; 0.367 ; clk_div:clkdiv_inst|count_100Khz[1]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.000        ; 0.023      ; 0.474      ;
7589
; 0.387 ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|count_100Khz[2]  ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.000        ; 0.023      ; 0.494      ;
7590
; 0.497 ; clk_div:clkdiv_inst|count_100Khz[2]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.000        ; 0.023      ; 0.604      ;
7591
; 0.559 ; clk_div:clkdiv_inst|count_100Khz[0]  ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_1Mhz_int   ; clk_div:clkdiv_inst|clock_1Mhz_int ; 0.000        ; 0.023      ; 0.666      ;
7592
; 0.645 ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_100Khz_int ; clk_div:clkdiv_inst|clock_1Mhz_int ; -0.500       ; 0.500      ; 0.834      ;
7593
+-------+--------------------------------------+--------------------------------------+--------------------------------------+------------------------------------+--------------+------------+------------+
7594
 
7595
 
7596
+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
7597
; Fast 1200mV 0C Model Hold: 'clk_div:clkdiv_inst|clock_25MHz'                                                                                                                                                                                                                                                                      ;
7598
+-------+----------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------------+---------------------------------+---------------------------------+--------------+------------+------------+
7599
; Slack ; From Node                                                                                    ; To Node                                                                                                       ; Launch Clock                    ; Latch Clock                     ; Relationship ; Clock Skew ; Data Delay ;
7600
+-------+----------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------------+---------------------------------+---------------------------------+--------------+------------+------------+
7601
; 0.140 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[1]                                         ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a0~portb_address_reg0   ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.189      ; 0.433      ;
7602
; 0.181 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[8]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[8]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.042      ; 0.307      ;
7603
; 0.181 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[9]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[9]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.042      ; 0.307      ;
7604
; 0.181 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[4]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[4]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.042      ; 0.307      ;
7605
; 0.181 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[5]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[5]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.042      ; 0.307      ;
7606
; 0.181 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[6]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[6]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.042      ; 0.307      ;
7607
; 0.181 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[3]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[3]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.042      ; 0.307      ;
7608
; 0.181 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[2]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[2]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.042      ; 0.307      ;
7609
; 0.181 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[7]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[7]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.042      ; 0.307      ;
7610
; 0.188 ; video:video_inst|VGA_SYNC:vga_sync_inst|horiz_sync                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|horiz_sync_out                                                        ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.042      ; 0.314      ;
7611
; 0.200 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[0]                                         ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a4~portb_address_reg0   ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.189      ; 0.493      ;
7612
; 0.202 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[2]                                         ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a4~portb_address_reg0   ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.189      ; 0.495      ;
7613
; 0.264 ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|address_reg_a[0] ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|out_address_reg_a[0]              ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.042      ; 0.390      ;
7614
; 0.271 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[7]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[7]                                                          ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.043      ; 0.398      ;
7615
; 0.283 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[4]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[4]                                                       ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.041      ; 0.408      ;
7616
; 0.290 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[9]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[9]                                                       ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.041      ; 0.415      ;
7617
; 0.290 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[1]                                         ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a4~portb_address_reg0   ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.183      ; 0.577      ;
7618
; 0.301 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[4]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[4]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.042      ; 0.427      ;
7619
; 0.305 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[6]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[6]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.042      ; 0.431      ;
7620
; 0.309 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[1]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[1]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.042      ; 0.435      ;
7621
; 0.310 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[2]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[2]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.042      ; 0.436      ;
7622
; 0.311 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[3]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[3]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.042      ; 0.437      ;
7623
; 0.322 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[2]                                         ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a0~portb_address_reg0   ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.195      ; 0.621      ;
7624
; 0.323 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[0]                                         ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a0~portb_address_reg0   ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.195      ; 0.622      ;
7625
; 0.330 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[6]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a3~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.179      ; 0.613      ;
7626
; 0.335 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[2]                                      ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[2]                                                           ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.042      ; 0.461      ;
7627
; 0.340 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[0]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[0]                                                       ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.043      ; 0.467      ;
7628
; 0.347 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[4]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[4]                                                          ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.043      ; 0.474      ;
7629
; 0.347 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[5]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[5]                                                          ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.043      ; 0.474      ;
7630
; 0.353 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[6]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a6~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.178      ; 0.635      ;
7631
; 0.353 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[6]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a4~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.176      ; 0.633      ;
7632
; 0.366 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[6]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a1~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.174      ; 0.644      ;
7633
; 0.367 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[5]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[5]                                                       ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.041      ; 0.492      ;
7634
; 0.368 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[3]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a4~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.178      ; 0.650      ;
7635
; 0.370 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[3]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a3~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.181      ; 0.655      ;
7636
; 0.371 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[9]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|vert_sync                                                             ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.044      ; 0.499      ;
7637
; 0.376 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[5]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a6~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.180      ; 0.660      ;
7638
; 0.380 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[5]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a3~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.181      ; 0.665      ;
7639
; 0.389 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[3]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a6~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.180      ; 0.673      ;
7640
; 0.404 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[4]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|vert_sync                                                             ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.043      ; 0.531      ;
7641
; 0.405 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[6]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[6]                                                       ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.043      ; 0.532      ;
7642
; 0.406 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[6]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|horiz_sync                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.043      ; 0.533      ;
7643
; 0.419 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[2]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[2]                                                          ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.037      ; 0.540      ;
7644
; 0.437 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[7]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[7]                                                       ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.041      ; 0.562      ;
7645
; 0.443 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[8]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[8]                                                          ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.044      ; 0.571      ;
7646
; 0.444 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[3]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[3]                                                          ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.043      ; 0.571      ;
7647
; 0.449 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[6]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[6]                                                          ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.043      ; 0.576      ;
7648
; 0.453 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[8]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[8]                                                       ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.041      ; 0.578      ;
7649
; 0.455 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[5]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[6]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.042      ; 0.581      ;
7650
; 0.457 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[9]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[9]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.042      ; 0.583      ;
7651
; 0.458 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[1]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[2]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.042      ; 0.584      ;
7652
; 0.459 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[5]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[5]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.042      ; 0.585      ;
7653
; 0.460 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[3]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[4]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.042      ; 0.586      ;
7654
; 0.461 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[1]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[1]                                                          ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.044      ; 0.589      ;
7655
; 0.462 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[4]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[6]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.042      ; 0.588      ;
7656
; 0.463 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[6]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[7]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.042      ; 0.589      ;
7657
; 0.464 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[1]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[1]                                                       ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.043      ; 0.591      ;
7658
; 0.464 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[7]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[7]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.042      ; 0.590      ;
7659
; 0.465 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[4]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a3~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.181      ; 0.750      ;
7660
; 0.466 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[0]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[1]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.042      ; 0.592      ;
7661
; 0.468 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[2]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[3]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.042      ; 0.594      ;
7662
; 0.469 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[0]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[2]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.042      ; 0.595      ;
7663
; 0.470 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[3]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[3]                                                       ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.041      ; 0.595      ;
7664
; 0.471 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[2]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[4]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.042      ; 0.597      ;
7665
; 0.474 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[2]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[2]                                                       ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.043      ; 0.601      ;
7666
; 0.481 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[0]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[0]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.042      ; 0.607      ;
7667
; 0.486 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[4]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a6~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.180      ; 0.770      ;
7668
; 0.494 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[2]                                      ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[1]                                                           ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.042      ; 0.620      ;
7669
; 0.494 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[0]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|horiz_sync                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.043      ; 0.621      ;
7670
; 0.495 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[2]                                      ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[3]                                                           ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.042      ; 0.621      ;
7671
; 0.497 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[2]                                      ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[0]                                                           ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.042      ; 0.623      ;
7672
; 0.497 ; video:video_inst|VGA_SYNC:vga_sync_inst|video_on_h                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[2]                                                           ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.044      ; 0.625      ;
7673
; 0.518 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[8]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[8]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.042      ; 0.644      ;
7674
; 0.518 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[5]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[7]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.042      ; 0.644      ;
7675
; 0.521 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[1]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[3]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.042      ; 0.647      ;
7676
; 0.524 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[1]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[4]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.042      ; 0.650      ;
7677
; 0.525 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[4]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[7]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.042      ; 0.651      ;
7678
; 0.526 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[3]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[6]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.042      ; 0.652      ;
7679
; 0.529 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[5]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a4~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.178      ; 0.811      ;
7680
; 0.532 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[0]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[3]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.042      ; 0.658      ;
7681
; 0.535 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[0]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[0]                                                          ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.038      ; 0.657      ;
7682
; 0.535 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[0]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[4]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.042      ; 0.661      ;
7683
; 0.537 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[2]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[6]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.042      ; 0.663      ;
7684
; 0.545 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[5]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a1~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.176      ; 0.825      ;
7685
; 0.546 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[3]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a1~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.176      ; 0.826      ;
7686
; 0.555 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[2]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|horiz_sync                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.043      ; 0.682      ;
7687
; 0.563 ; video:video_inst|VGA_SYNC:vga_sync_inst|vert_sync                                            ; video:video_inst|VGA_SYNC:vga_sync_inst|vert_sync_out                                                         ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.048      ; 0.695      ;
7688
; 0.571 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[4]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a2~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.164      ; 0.839      ;
7689
; 0.574 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[5]                                         ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[2]                                                           ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.045      ; 0.703      ;
7690
; 0.587 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[3]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a7~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.163      ; 0.854      ;
7691
; 0.589 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[3]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[7]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.042      ; 0.715      ;
7692
; 0.590 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[1]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[6]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.042      ; 0.716      ;
7693
; 0.592 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[5]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|horiz_sync                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.043      ; 0.719      ;
7694
; 0.596 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[3]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a2~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.164      ; 0.864      ;
7695
; 0.600 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[2]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[7]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.042      ; 0.726      ;
7696
; 0.601 ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[0]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[6]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.042      ; 0.727      ;
7697
; 0.603 ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[3]                                           ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[4]                                                            ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.042      ; 0.729      ;
7698
; 0.605 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[3]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a0~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.161      ; 0.870      ;
7699
; 0.605 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[4]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a0~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.161      ; 0.870      ;
7700
; 0.609 ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[3]                                      ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a5~portb_address_reg0 ; clk_div:clkdiv_inst|clock_25MHz ; clk_div:clkdiv_inst|clock_25MHz ; 0.000        ; 0.159      ; 0.872      ;
7701
+-------+----------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------------+---------------------------------+---------------------------------+--------------+------------+------------+
7702
 
7703
 
7704
+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
7705
; Fast 1200mV 0C Model Hold: 'clk_div:clkdiv_inst|clock_1Khz_int'                                                                                                                                      ;
7706
+-------+-------------------------------------+-------------------------------------+------------------------------------+------------------------------------+--------------+------------+------------+
7707
; Slack ; From Node                           ; To Node                             ; Launch Clock                       ; Latch Clock                        ; Relationship ; Clock Skew ; Data Delay ;
7708
+-------+-------------------------------------+-------------------------------------+------------------------------------+------------------------------------+--------------+------------+------------+
7709
; 0.201 ; clk_div:clkdiv_inst|count_100hz[2]  ; clk_div:clkdiv_inst|count_100hz[2]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 0.000        ; 0.022      ; 0.307      ;
7710
; 0.201 ; clk_div:clkdiv_inst|count_100hz[1]  ; clk_div:clkdiv_inst|count_100hz[1]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 0.000        ; 0.022      ; 0.307      ;
7711
; 0.201 ; clk_div:clkdiv_inst|clock_100hz_int ; clk_div:clkdiv_inst|clock_100hz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 0.000        ; 0.022      ; 0.307      ;
7712
; 0.208 ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 0.000        ; 0.022      ; 0.314      ;
7713
; 0.216 ; clk_div:clkdiv_inst|count_100hz[1]  ; clk_div:clkdiv_inst|count_100hz[2]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 0.000        ; 0.023      ; 0.323      ;
7714
; 0.217 ; clk_div:clkdiv_inst|count_100hz[2]  ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 0.000        ; 0.023      ; 0.324      ;
7715
; 0.219 ; clk_div:clkdiv_inst|count_100hz[1]  ; clk_div:clkdiv_inst|clock_100hz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 0.000        ; 0.023      ; 0.326      ;
7716
; 0.288 ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|count_100hz[1]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 0.000        ; 0.023      ; 0.395      ;
7717
; 0.319 ; clk_div:clkdiv_inst|count_100hz[1]  ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 0.000        ; 0.023      ; 0.426      ;
7718
; 0.325 ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|count_100hz[2]  ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 0.000        ; 0.023      ; 0.432      ;
7719
; 0.344 ; clk_div:clkdiv_inst|count_100hz[0]  ; clk_div:clkdiv_inst|clock_100hz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 0.000        ; 0.023      ; 0.451      ;
7720
; 0.346 ; clk_div:clkdiv_inst|count_100hz[2]  ; clk_div:clkdiv_inst|clock_100hz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; clk_div:clkdiv_inst|clock_1Khz_int ; 0.000        ; 0.023      ; 0.453      ;
7721
+-------+-------------------------------------+-------------------------------------+------------------------------------+------------------------------------+--------------+------------+------------+
7722
 
7723
 
7724
+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
7725
; Fast 1200mV 0C Model Hold: 'clk_div:clkdiv_inst|clock_100Hz'                                                                                                                                                                      ;
7726
+-------+----------------------------------------------------+-----------------------------+-------------------------------------------------------------+---------------------------------+--------------+------------+------------+
7727
; Slack ; From Node                                          ; To Node                     ; Launch Clock                                                ; Latch Clock                     ; Relationship ; Clock Skew ; Data Delay ;
7728
+-------+----------------------------------------------------+-----------------------------+-------------------------------------------------------------+---------------------------------+--------------+------------+------------+
7729
; 0.210 ; ps2kbd:ps2_kbd_inst|caps[1]                        ; ps2kbd:ps2_kbd_inst|caps[0] ; clk_div:clkdiv_inst|clock_100Hz                             ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 0.024      ; 0.318      ;
7730
; 0.308 ; ps2kbd:ps2_kbd_inst|caps[0]                        ; ps2kbd:ps2_kbd_inst|caps[1] ; clk_div:clkdiv_inst|clock_100Hz                             ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; 0.024      ; 0.416      ;
7731
; 2.578 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; -1.551     ; 1.121      ;
7732
; 2.578 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; -1.551     ; 1.121      ;
7733
; 2.613 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; -1.553     ; 1.154      ;
7734
; 2.613 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; -1.553     ; 1.154      ;
7735
; 2.638 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; -1.551     ; 1.181      ;
7736
; 2.638 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; -1.551     ; 1.181      ;
7737
; 2.643 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; -1.551     ; 1.186      ;
7738
; 2.643 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; -1.551     ; 1.186      ;
7739
; 2.657 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; -1.551     ; 1.200      ;
7740
; 2.657 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; -1.551     ; 1.200      ;
7741
; 2.666 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; -1.553     ; 1.207      ;
7742
; 2.666 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; -1.553     ; 1.207      ;
7743
; 2.675 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; -1.551     ; 1.218      ;
7744
; 2.675 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; -1.551     ; 1.218      ;
7745
; 2.877 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2kbd:ps2_kbd_inst|caps[0] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; -1.551     ; 1.420      ;
7746
; 2.877 ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3] ; ps2kbd:ps2_kbd_inst|caps[1] ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz ; 0.000        ; -1.551     ; 1.420      ;
7747
+-------+----------------------------------------------------+-----------------------------+-------------------------------------------------------------+---------------------------------+--------------+------------+------------+
7748
 
7749
 
7750
+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
7751
; Fast 1200mV 0C Model Hold: 'clk_div:clkdiv_inst|clock_25Mhz_int'                                                                                                                                    ;
7752
+-------+-----------------------------------+------------------------------------+-------------------------------------+-------------------------------------+--------------+------------+------------+
7753
; Slack ; From Node                         ; To Node                            ; Launch Clock                        ; Latch Clock                         ; Relationship ; Clock Skew ; Data Delay ;
7754
+-------+-----------------------------------+------------------------------------+-------------------------------------+-------------------------------------+--------------+------------+------------+
7755
; 0.214 ; clk_div:clkdiv_inst|count_1Mhz[4] ; clk_div:clkdiv_inst|clock_1Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.024      ; 0.322      ;
7756
; 0.215 ; clk_div:clkdiv_inst|count_1Mhz[4] ; clk_div:clkdiv_inst|count_1Mhz[4]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.022      ; 0.321      ;
7757
; 0.309 ; clk_div:clkdiv_inst|count_1Mhz[1] ; clk_div:clkdiv_inst|count_1Mhz[1]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.022      ; 0.415      ;
7758
; 0.315 ; clk_div:clkdiv_inst|count_1Mhz[2] ; clk_div:clkdiv_inst|count_1Mhz[2]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.022      ; 0.421      ;
7759
; 0.318 ; clk_div:clkdiv_inst|count_1Mhz[0] ; clk_div:clkdiv_inst|count_1Mhz[0]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.022      ; 0.424      ;
7760
; 0.321 ; clk_div:clkdiv_inst|count_1Mhz[3] ; clk_div:clkdiv_inst|count_1Mhz[3]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.022      ; 0.427      ;
7761
; 0.334 ; clk_div:clkdiv_inst|count_1Mhz[2] ; clk_div:clkdiv_inst|clock_1Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.024      ; 0.442      ;
7762
; 0.349 ; clk_div:clkdiv_inst|count_1Mhz[3] ; clk_div:clkdiv_inst|clock_1Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.024      ; 0.457      ;
7763
; 0.456 ; clk_div:clkdiv_inst|count_1Mhz[1] ; clk_div:clkdiv_inst|count_1Mhz[2]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.024      ; 0.564      ;
7764
; 0.465 ; clk_div:clkdiv_inst|count_1Mhz[0] ; clk_div:clkdiv_inst|count_1Mhz[1]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.024      ; 0.573      ;
7765
; 0.468 ; clk_div:clkdiv_inst|count_1Mhz[0] ; clk_div:clkdiv_inst|count_1Mhz[2]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.024      ; 0.576      ;
7766
; 0.468 ; clk_div:clkdiv_inst|count_1Mhz[3] ; clk_div:clkdiv_inst|count_1Mhz[4]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.024      ; 0.576      ;
7767
; 0.471 ; clk_div:clkdiv_inst|count_1Mhz[2] ; clk_div:clkdiv_inst|count_1Mhz[3]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.024      ; 0.579      ;
7768
; 0.474 ; clk_div:clkdiv_inst|count_1Mhz[2] ; clk_div:clkdiv_inst|count_1Mhz[4]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.024      ; 0.582      ;
7769
; 0.519 ; clk_div:clkdiv_inst|count_1Mhz[1] ; clk_div:clkdiv_inst|count_1Mhz[3]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.024      ; 0.627      ;
7770
; 0.522 ; clk_div:clkdiv_inst|count_1Mhz[1] ; clk_div:clkdiv_inst|count_1Mhz[4]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.024      ; 0.630      ;
7771
; 0.527 ; clk_div:clkdiv_inst|count_1Mhz[4] ; clk_div:clkdiv_inst|count_1Mhz[3]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.024      ; 0.635      ;
7772
; 0.527 ; clk_div:clkdiv_inst|count_1Mhz[4] ; clk_div:clkdiv_inst|count_1Mhz[2]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.024      ; 0.635      ;
7773
; 0.527 ; clk_div:clkdiv_inst|count_1Mhz[4] ; clk_div:clkdiv_inst|count_1Mhz[1]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.024      ; 0.635      ;
7774
; 0.527 ; clk_div:clkdiv_inst|count_1Mhz[4] ; clk_div:clkdiv_inst|count_1Mhz[0]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.024      ; 0.635      ;
7775
; 0.531 ; clk_div:clkdiv_inst|count_1Mhz[0] ; clk_div:clkdiv_inst|count_1Mhz[3]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.024      ; 0.639      ;
7776
; 0.534 ; clk_div:clkdiv_inst|count_1Mhz[0] ; clk_div:clkdiv_inst|count_1Mhz[4]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.024      ; 0.642      ;
7777
; 0.661 ; clk_div:clkdiv_inst|count_1Mhz[3] ; clk_div:clkdiv_inst|count_1Mhz[2]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.024      ; 0.769      ;
7778
; 0.661 ; clk_div:clkdiv_inst|count_1Mhz[3] ; clk_div:clkdiv_inst|count_1Mhz[1]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.024      ; 0.769      ;
7779
; 0.661 ; clk_div:clkdiv_inst|count_1Mhz[3] ; clk_div:clkdiv_inst|count_1Mhz[0]  ; clk_div:clkdiv_inst|clock_25Mhz_int ; clk_div:clkdiv_inst|clock_25Mhz_int ; 0.000        ; 0.024      ; 0.769      ;
7780
+-------+-----------------------------------+------------------------------------+-------------------------------------+-------------------------------------+--------------+------------+------------+
7781
 
7782
 
7783
+------------------------------------------------------------------------------------------------------------------------------------------------------------+
7784
; Fast 1200mV 0C Model Hold: 'LCD:lcd_inst|clk_400hz_enable'                                                                                                 ;
7785
+-------+--------------------------------+------------------+-----------------------+-------------------------------+--------------+------------+------------+
7786
; Slack ; From Node                      ; To Node          ; Launch Clock          ; Latch Clock                   ; Relationship ; Clock Skew ; Data Delay ;
7787
+-------+--------------------------------+------------------+-----------------------+-------------------------------+--------------+------------+------------+
7788
; 1.167 ; LCD:lcd_inst|char_count_sig[4] ; next_char_sig[5] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -0.615     ; 0.656      ;
7789
; 1.181 ; LCD:lcd_inst|char_count_sig[4] ; next_char_sig[4] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -0.517     ; 0.768      ;
7790
; 1.195 ; LCD:lcd_inst|char_count_sig[4] ; next_char_sig[6] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -0.625     ; 0.674      ;
7791
; 1.207 ; LCD:lcd_inst|char_count_sig[4] ; next_char_sig[1] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -0.618     ; 0.693      ;
7792
; 1.236 ; LCD:lcd_inst|char_count_sig[4] ; next_char_sig[0] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -0.661     ; 0.679      ;
7793
; 1.248 ; LCD:lcd_inst|char_count_sig[1] ; next_char_sig[0] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -0.661     ; 0.691      ;
7794
; 1.311 ; LCD:lcd_inst|char_count_sig[4] ; next_char_sig[7] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -0.672     ; 0.743      ;
7795
; 1.320 ; LCD:lcd_inst|char_count_sig[1] ; next_char_sig[6] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -0.625     ; 0.799      ;
7796
; 1.330 ; LCD:lcd_inst|char_count_sig[3] ; next_char_sig[4] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -0.517     ; 0.917      ;
7797
; 1.333 ; LCD:lcd_inst|char_count_sig[1] ; next_char_sig[4] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -0.517     ; 0.920      ;
7798
; 1.351 ; LCD:lcd_inst|char_count_sig[0] ; next_char_sig[5] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -0.615     ; 0.840      ;
7799
; 1.352 ; LCD:lcd_inst|char_count_sig[4] ; next_char_sig[2] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -0.674     ; 0.782      ;
7800
; 1.356 ; LCD:lcd_inst|char_count_sig[4] ; next_char_sig[3] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -0.654     ; 0.806      ;
7801
; 1.398 ; LCD:lcd_inst|char_count_sig[1] ; next_char_sig[2] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -0.674     ; 0.828      ;
7802
; 1.408 ; LCD:lcd_inst|char_count_sig[2] ; next_char_sig[1] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -0.618     ; 0.894      ;
7803
; 1.430 ; LCD:lcd_inst|char_count_sig[0] ; next_char_sig[4] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -0.517     ; 1.017      ;
7804
; 1.459 ; LCD:lcd_inst|char_count_sig[3] ; next_char_sig[0] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -0.661     ; 0.902      ;
7805
; 1.464 ; LCD:lcd_inst|char_count_sig[0] ; next_char_sig[1] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -0.618     ; 0.950      ;
7806
; 1.509 ; LCD:lcd_inst|char_count_sig[3] ; next_char_sig[5] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -0.615     ; 0.998      ;
7807
; 1.518 ; LCD:lcd_inst|char_count_sig[3] ; next_char_sig[1] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -0.618     ; 1.004      ;
7808
; 1.519 ; LCD:lcd_inst|char_count_sig[1] ; next_char_sig[3] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -0.654     ; 0.969      ;
7809
; 1.523 ; LCD:lcd_inst|char_count_sig[0] ; next_char_sig[3] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -0.654     ; 0.973      ;
7810
; 1.556 ; LCD:lcd_inst|char_count_sig[2] ; next_char_sig[3] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -0.654     ; 1.006      ;
7811
; 1.573 ; LCD:lcd_inst|char_count_sig[0] ; next_char_sig[6] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -0.625     ; 1.052      ;
7812
; 1.577 ; LCD:lcd_inst|char_count_sig[3] ; next_char_sig[2] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -0.674     ; 1.007      ;
7813
; 1.582 ; LCD:lcd_inst|char_count_sig[3] ; next_char_sig[6] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -0.625     ; 1.061      ;
7814
; 1.594 ; LCD:lcd_inst|char_count_sig[1] ; next_char_sig[7] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -0.672     ; 1.026      ;
7815
; 1.602 ; LCD:lcd_inst|char_count_sig[1] ; next_char_sig[1] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -0.618     ; 1.088      ;
7816
; 1.607 ; LCD:lcd_inst|char_count_sig[2] ; next_char_sig[4] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -0.517     ; 1.194      ;
7817
; 1.610 ; LCD:lcd_inst|char_count_sig[0] ; next_char_sig[0] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -0.661     ; 1.053      ;
7818
; 1.628 ; LCD:lcd_inst|char_count_sig[3] ; next_char_sig[7] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -0.672     ; 1.060      ;
7819
; 1.661 ; LCD:lcd_inst|char_count_sig[1] ; next_char_sig[5] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -0.615     ; 1.150      ;
7820
; 1.664 ; LCD:lcd_inst|char_count_sig[2] ; next_char_sig[5] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -0.615     ; 1.153      ;
7821
; 1.665 ; LCD:lcd_inst|char_count_sig[2] ; next_char_sig[7] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -0.672     ; 1.097      ;
7822
; 1.666 ; LCD:lcd_inst|char_count_sig[0] ; next_char_sig[2] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -0.674     ; 1.096      ;
7823
; 1.693 ; LCD:lcd_inst|char_count_sig[0] ; next_char_sig[7] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -0.672     ; 1.125      ;
7824
; 1.708 ; LCD:lcd_inst|char_count_sig[2] ; next_char_sig[0] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -0.661     ; 1.151      ;
7825
; 1.716 ; LCD:lcd_inst|char_count_sig[3] ; next_char_sig[3] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -0.654     ; 1.166      ;
7826
; 1.783 ; LCD:lcd_inst|char_count_sig[2] ; next_char_sig[2] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -0.674     ; 1.213      ;
7827
; 1.797 ; LCD:lcd_inst|char_count_sig[2] ; next_char_sig[6] ; CLOCK_50              ; LCD:lcd_inst|clk_400hz_enable ; 0.000        ; -0.625     ; 1.276      ;
7828
; 4.507 ; lcdvram[3][4]                  ; next_char_sig[4] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -2.861     ; 1.240      ;
7829
; 4.535 ; lcdvram[11][4]                 ; next_char_sig[4] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -2.944     ; 1.185      ;
7830
; 4.698 ; lcdvram[3][2]                  ; next_char_sig[2] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -3.111     ; 1.181      ;
7831
; 4.711 ; lcdvram[3][6]                  ; next_char_sig[6] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -3.063     ; 1.242      ;
7832
; 4.726 ; lcdvram[11][6]                 ; next_char_sig[6] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -3.433     ; 0.887      ;
7833
; 4.743 ; lcdvram[8][4]                  ; next_char_sig[4] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -3.214     ; 1.123      ;
7834
; 4.747 ; lcdvram[10][4]                 ; next_char_sig[4] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -2.938     ; 1.403      ;
7835
; 4.769 ; lcdvram[2][0]                  ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -3.130     ; 1.233      ;
7836
; 4.773 ; lcdvram[10][6]                 ; next_char_sig[6] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -3.417     ; 0.950      ;
7837
; 4.784 ; lcdvram[15][1]                 ; next_char_sig[1] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -3.705     ; 0.673      ;
7838
; 4.793 ; lcdvram[8][5]                  ; next_char_sig[5] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -3.332     ; 1.055      ;
7839
; 4.808 ; lcdvram[3][5]                  ; next_char_sig[5] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -3.378     ; 1.024      ;
7840
; 4.830 ; lcdvram[3][1]                  ; next_char_sig[1] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -3.208     ; 1.216      ;
7841
; 4.890 ; lcdvram[9][4]                  ; next_char_sig[4] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -3.457     ; 1.027      ;
7842
; 4.911 ; lcdvram[5][6]                  ; next_char_sig[6] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -3.480     ; 1.025      ;
7843
; 4.921 ; lcdvram[13][4]                 ; next_char_sig[4] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -3.361     ; 1.154      ;
7844
; 4.922 ; lcdvram[15][4]                 ; next_char_sig[4] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -3.683     ; 0.833      ;
7845
; 4.931 ; lcdvram[2][4]                  ; next_char_sig[4] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -3.047     ; 1.478      ;
7846
; 4.936 ; lcdvram[11][0]                 ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -3.541     ; 0.989      ;
7847
; 4.943 ; lcdvram[9][1]                  ; next_char_sig[1] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -3.441     ; 1.096      ;
7848
; 4.944 ; lcdvram[0][7]                  ; next_char_sig[7] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -3.287     ; 1.251      ;
7849
; 4.947 ; lcdvram[8][6]                  ; next_char_sig[6] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -3.433     ; 1.108      ;
7850
; 4.953 ; lcdvram[4][7]                  ; next_char_sig[7] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -3.198     ; 1.349      ;
7851
; 4.954 ; lcdvram[10][3]                 ; next_char_sig[3] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -3.175     ; 1.373      ;
7852
; 4.959 ; lcdvram[5][2]                  ; next_char_sig[2] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -3.547     ; 1.006      ;
7853
; 4.971 ; lcdvram[14][1]                 ; next_char_sig[1] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -3.791     ; 0.774      ;
7854
; 4.984 ; lcdvram[12][5]                 ; next_char_sig[5] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -3.559     ; 1.019      ;
7855
; 4.990 ; lcdvram[2][5]                  ; next_char_sig[5] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -3.318     ; 1.266      ;
7856
; 5.008 ; lcdvram[29][4]                 ; next_char_sig[4] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -3.800     ; 0.802      ;
7857
; 5.008 ; lcdvram[5][7]                  ; next_char_sig[7] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -3.487     ; 1.115      ;
7858
; 5.021 ; lcdvram[9][5]                  ; next_char_sig[5] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -3.439     ; 1.176      ;
7859
; 5.023 ; lcdvram[10][1]                 ; next_char_sig[1] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -3.160     ; 1.457      ;
7860
; 5.041 ; lcdvram[10][0]                 ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -3.699     ; 0.936      ;
7861
; 5.046 ; lcdvram[5][5]                  ; next_char_sig[5] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -3.561     ; 1.079      ;
7862
; 5.049 ; lcdvram[3][0]                  ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -3.003     ; 1.640      ;
7863
; 5.059 ; lcdvram[15][5]                 ; next_char_sig[5] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -3.578     ; 1.075      ;
7864
; 5.079 ; lcdvram[1][7]                  ; next_char_sig[7] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -3.416     ; 1.257      ;
7865
; 5.086 ; lcdvram[14][3]                 ; next_char_sig[3] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -3.693     ; 0.987      ;
7866
; 5.088 ; lcdvram[9][6]                  ; next_char_sig[6] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -3.605     ; 1.077      ;
7867
; 5.091 ; lcdvram[8][3]                  ; next_char_sig[3] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -3.475     ; 1.210      ;
7868
; 5.092 ; lcdvram[14][0]                 ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -3.755     ; 0.931      ;
7869
; 5.095 ; lcdvram[13][6]                 ; next_char_sig[6] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -3.470     ; 1.219      ;
7870
; 5.098 ; lcdvram[7][7]                  ; next_char_sig[7] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -3.703     ; 0.989      ;
7871
; 5.104 ; lcdvram[5][0]                  ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -3.514     ; 1.184      ;
7872
; 5.108 ; lcdvram[11][1]                 ; next_char_sig[1] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -3.363     ; 1.339      ;
7873
; 5.113 ; lcdvram[8][0]                  ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -3.613     ; 1.094      ;
7874
; 5.117 ; lcdvram[2][2]                  ; next_char_sig[2] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -3.483     ; 1.228      ;
7875
; 5.119 ; lcdvram[11][5]                 ; next_char_sig[5] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -3.367     ; 1.346      ;
7876
; 5.129 ; lcdvram[10][2]                 ; next_char_sig[2] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -3.644     ; 1.079      ;
7877
; 5.132 ; lcdvram[7][1]                  ; next_char_sig[1] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -3.734     ; 0.992      ;
7878
; 5.139 ; lcdvram[29][5]                 ; next_char_sig[5] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -3.911     ; 0.822      ;
7879
; 5.143 ; lcdvram[13][1]                 ; next_char_sig[1] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -3.561     ; 1.176      ;
7880
; 5.143 ; lcdvram[7][6]                  ; next_char_sig[6] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -3.566     ; 1.171      ;
7881
; 5.147 ; lcdvram[5][4]                  ; next_char_sig[4] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -3.369     ; 1.372      ;
7882
; 5.149 ; lcdvram[6][1]                  ; next_char_sig[1] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -3.851     ; 0.892      ;
7883
; 5.152 ; lcdvram[15][3]                 ; next_char_sig[3] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -3.822     ; 0.924      ;
7884
; 5.153 ; lcdvram[5][3]                  ; next_char_sig[3] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -3.471     ; 1.276      ;
7885
; 5.155 ; lcdvram[5][1]                  ; next_char_sig[1] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -3.545     ; 1.204      ;
7886
; 5.159 ; lcdvram[14][5]                 ; next_char_sig[5] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -3.581     ; 1.172      ;
7887
; 5.163 ; lcdvram[13][0]                 ; next_char_sig[0] ; T80se:z80_inst|MREQ_n ; LCD:lcd_inst|clk_400hz_enable ; -0.500       ; -3.506     ; 1.251      ;
7888
+-------+--------------------------------+------------------+-----------------------+-------------------------------+--------------+------------+------------+
7889
 
7890
 
7891
+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
7892
; Fast 1200mV 0C Model Recovery: 'ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set'                                                                                                ;
7893
+--------+-----------+--------------------------------------------------+--------------+-------------------------------------------------+--------------+------------+------------+
7894
; Slack  ; From Node ; To Node                                          ; Launch Clock ; Latch Clock                                     ; Relationship ; Clock Skew ; Data Delay ;
7895
+--------+-----------+--------------------------------------------------+--------------+-------------------------------------------------+--------------+------------+------------+
7896
; -0.904 ; ps2_read  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_ready ; CLOCK_50     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set ; 1.000        ; -0.915     ; 0.976      ;
7897
+--------+-----------+--------------------------------------------------+--------------+-------------------------------------------------+--------------+------------+------------+
7898
 
7899
 
7900
+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
7901
; Fast 1200mV 0C Model Removal: 'ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set'                                                                                                ;
7902
+-------+-----------+--------------------------------------------------+--------------+-------------------------------------------------+--------------+------------+------------+
7903
; Slack ; From Node ; To Node                                          ; Launch Clock ; Latch Clock                                     ; Relationship ; Clock Skew ; Data Delay ;
7904
+-------+-----------+--------------------------------------------------+--------------+-------------------------------------------------+--------------+------------+------------+
7905
; 1.565 ; ps2_read  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_ready ; CLOCK_50     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set ; 0.000        ; -0.816     ; 0.853      ;
7906
+-------+-----------+--------------------------------------------------+--------------+-------------------------------------------------+--------------+------------+------------+
7907
 
7908
 
7909
+---------------------------------------------------------------------------------------------------------------+
7910
; Fast 1200mV 0C Model Minimum Pulse Width: 'SW[16]'                                                            ;
7911
+--------+--------------+----------------+------------+--------+------------+-----------------------------------+
7912
; Slack  ; Actual Width ; Required Width ; Type       ; Clock  ; Clock Edge ; Target                            ;
7913
+--------+--------------+----------------+------------+--------+------------+-----------------------------------+
7914
; -3.000 ; 1.000        ; 4.000          ; Port Rate  ; SW[16] ; Rise       ; SW[16]                            ;
7915
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; LCDON_reg                         ;
7916
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|DI_Reg[0]          ;
7917
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|DI_Reg[1]          ;
7918
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|DI_Reg[2]          ;
7919
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|DI_Reg[3]          ;
7920
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|DI_Reg[4]          ;
7921
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|DI_Reg[5]          ;
7922
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|DI_Reg[6]          ;
7923
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|DI_Reg[7]          ;
7924
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|IORQ_n             ;
7925
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|MREQ_n             ;
7926
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|RD_n               ;
7927
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|ACC[0]      ;
7928
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|ACC[1]      ;
7929
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|ACC[2]      ;
7930
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|ACC[3]      ;
7931
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|ACC[4]      ;
7932
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|ACC[5]      ;
7933
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|ACC[6]      ;
7934
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|ACC[7]      ;
7935
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|ALU_Op_r[0] ;
7936
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|ALU_Op_r[1] ;
7937
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|ALU_Op_r[2] ;
7938
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|ALU_Op_r[3] ;
7939
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|A[0]        ;
7940
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|A[10]       ;
7941
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|A[11]       ;
7942
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|A[12]       ;
7943
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|A[13]       ;
7944
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|A[14]       ;
7945
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|A[15]       ;
7946
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|A[1]        ;
7947
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|A[2]        ;
7948
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|A[3]        ;
7949
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|A[4]        ;
7950
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|A[5]        ;
7951
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|A[6]        ;
7952
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|A[7]        ;
7953
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|A[8]        ;
7954
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|A[9]        ;
7955
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|Alternate   ;
7956
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|Ap[0]       ;
7957
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|Ap[1]       ;
7958
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|Ap[2]       ;
7959
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|Ap[3]       ;
7960
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|Ap[4]       ;
7961
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|Ap[5]       ;
7962
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|Ap[6]       ;
7963
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|Ap[7]       ;
7964
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|Arith16_r   ;
7965
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|BTR_r       ;
7966
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|BusA[0]     ;
7967
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|BusA[1]     ;
7968
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|BusA[2]     ;
7969
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|BusA[3]     ;
7970
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|BusA[4]     ;
7971
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|BusA[5]     ;
7972
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|BusA[6]     ;
7973
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|BusA[7]     ;
7974
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|BusB[0]     ;
7975
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|BusB[1]     ;
7976
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|BusB[2]     ;
7977
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|BusB[3]     ;
7978
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|BusB[4]     ;
7979
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|BusB[5]     ;
7980
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|BusB[6]     ;
7981
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|BusB[7]     ;
7982
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|DO[0]       ;
7983
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|DO[1]       ;
7984
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|DO[2]       ;
7985
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|DO[3]       ;
7986
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|DO[4]       ;
7987
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|DO[5]       ;
7988
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|DO[6]       ;
7989
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|DO[7]       ;
7990
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|F[0]        ;
7991
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|F[1]        ;
7992
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|F[2]        ;
7993
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|F[3]        ;
7994
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|F[4]        ;
7995
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|F[5]        ;
7996
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|F[6]        ;
7997
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|F[7]        ;
7998
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|Fp[0]       ;
7999
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|Fp[1]       ;
8000
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|Fp[2]       ;
8001
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|Fp[3]       ;
8002
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|Fp[4]       ;
8003
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|Fp[5]       ;
8004
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|Fp[6]       ;
8005
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|Fp[7]       ;
8006
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|Halt_FF     ;
8007
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|IR[0]       ;
8008
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|IR[1]       ;
8009
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|IR[2]       ;
8010
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|IR[3]       ;
8011
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|IR[4]       ;
8012
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|IR[5]       ;
8013
; -1.000 ; 1.000        ; 2.000          ; Min Period ; SW[16] ; Rise       ; T80se:z80_inst|T80:u0|IR[6]       ;
8014
+--------+--------------+----------------+------------+--------+------------+-----------------------------------+
8015
 
8016
 
8017
+-----------------------------------------------------------------------------------------------------------------------+
8018
; Fast 1200mV 0C Model Minimum Pulse Width: 'CLOCK_50'                                                                  ;
8019
+--------+--------------+----------------+------------+----------+------------+-----------------------------------------+
8020
; Slack  ; Actual Width ; Required Width ; Type       ; Clock    ; Clock Edge ; Target                                  ;
8021
+--------+--------------+----------------+------------+----------+------------+-----------------------------------------+
8022
; -3.000 ; 1.000        ; 4.000          ; Port Rate  ; CLOCK_50 ; Rise       ; CLOCK_50                                ;
8023
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|LCD_EN                     ;
8024
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|LCD_ON                     ;
8025
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|LCD_RS                     ;
8026
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|char_count_sig[0]          ;
8027
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|char_count_sig[1]          ;
8028
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|char_count_sig[2]          ;
8029
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|char_count_sig[3]          ;
8030
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|char_count_sig[4]          ;
8031
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_400hz_enable           ;
8032
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[0]         ;
8033
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[10]        ;
8034
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[11]        ;
8035
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[12]        ;
8036
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[13]        ;
8037
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[14]        ;
8038
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[15]        ;
8039
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[16]        ;
8040
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[17]        ;
8041
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[18]        ;
8042
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[19]        ;
8043
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[1]         ;
8044
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[2]         ;
8045
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[3]         ;
8046
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[4]         ;
8047
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[5]         ;
8048
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[6]         ;
8049
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[7]         ;
8050
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[8]         ;
8051
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|clk_count_400hz[9]         ;
8052
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|data_bus_value[0]          ;
8053
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|data_bus_value[1]          ;
8054
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|data_bus_value[2]          ;
8055
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|data_bus_value[3]          ;
8056
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|data_bus_value[4]          ;
8057
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|data_bus_value[5]          ;
8058
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|data_bus_value[6]          ;
8059
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|data_bus_value[7]          ;
8060
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|next_command.display_clear ;
8061
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|next_command.display_off   ;
8062
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|next_command.display_on    ;
8063
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|next_command.func_set      ;
8064
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|next_command.line2         ;
8065
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|next_command.mode_set      ;
8066
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|next_command.print_string  ;
8067
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|next_command.reset2        ;
8068
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|next_command.reset3        ;
8069
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|next_command.return_home   ;
8070
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|state.display_clear        ;
8071
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|state.display_off          ;
8072
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|state.display_on           ;
8073
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|state.drop_LCD_EN          ;
8074
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|state.func_set             ;
8075
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|state.hold                 ;
8076
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|state.line2                ;
8077
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|state.mode_set             ;
8078
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|state.print_string         ;
8079
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|state.reset1               ;
8080
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|state.reset2               ;
8081
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|state.reset3               ;
8082
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; LCD:lcd_inst|state.return_home          ;
8083
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; \random:rand_temp[0]                    ;
8084
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; \random:rand_temp[10]                   ;
8085
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; \random:rand_temp[11]                   ;
8086
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; \random:rand_temp[12]                   ;
8087
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; \random:rand_temp[13]                   ;
8088
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; \random:rand_temp[14]                   ;
8089
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; \random:rand_temp[15]                   ;
8090
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; \random:rand_temp[1]                    ;
8091
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; \random:rand_temp[2]                    ;
8092
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; \random:rand_temp[3]                    ;
8093
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; \random:rand_temp[4]                    ;
8094
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; \random:rand_temp[5]                    ;
8095
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; \random:rand_temp[6]                    ;
8096
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; \random:rand_temp[7]                    ;
8097
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; \random:rand_temp[8]                    ;
8098
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; \random:rand_temp[9]                    ;
8099
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|clock_100Hz         ;
8100
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|clock_10MHz         ;
8101
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|clock_10Mhz_int     ;
8102
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|clock_25MHz         ;
8103
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|clock_25Mhz_int     ;
8104
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|clock_357Mhz        ;
8105
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|clock_357Mhz_int    ;
8106
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|count_10Mhz[0]      ;
8107
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|count_10Mhz[1]      ;
8108
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|count_10Mhz[2]      ;
8109
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|count_357Mhz[0]     ;
8110
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|count_357Mhz[1]     ;
8111
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|count_357Mhz[2]     ;
8112
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; clk_div:clkdiv_inst|count_357Mhz[3]     ;
8113
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; ps2_ascii_reg1[0]                       ;
8114
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; ps2_ascii_reg1[1]                       ;
8115
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; ps2_ascii_reg1[2]                       ;
8116
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; ps2_ascii_reg1[3]                       ;
8117
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; ps2_ascii_reg1[4]                       ;
8118
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; ps2_ascii_reg1[5]                       ;
8119
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; ps2_ascii_reg1[6]                       ;
8120
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; ps2_ascii_reg1[7]                       ;
8121
; -1.000 ; 1.000        ; 2.000          ; Min Period ; CLOCK_50 ; Rise       ; ps2_read                                ;
8122
+--------+--------------+----------------+------------+----------+------------+-----------------------------------------+
8123
 
8124
 
8125
+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
8126
; Fast 1200mV 0C Model Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_25MHz'                                                                                                                                             ;
8127
+--------+--------------+----------------+-----------------+---------------------------------+------------+---------------------------------------------------------------------------------------------------------------+
8128
; Slack  ; Actual Width ; Required Width ; Type            ; Clock                           ; Clock Edge ; Target                                                                                                        ;
8129
+--------+--------------+----------------+-----------------+---------------------------------+------------+---------------------------------------------------------------------------------------------------------------+
8130
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a0~portb_address_reg0   ;
8131
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a4~portb_address_reg0   ;
8132
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|address_reg_a[0]                  ;
8133
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|out_address_reg_a[0]              ;
8134
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a0                      ;
8135
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a0~porta_address_reg0   ;
8136
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a1                      ;
8137
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a10                     ;
8138
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a10~porta_address_reg0  ;
8139
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a11                     ;
8140
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a11~porta_address_reg0  ;
8141
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a12                     ;
8142
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a12~porta_address_reg0  ;
8143
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a13                     ;
8144
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a13~porta_address_reg0  ;
8145
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a14                     ;
8146
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a14~porta_address_reg0  ;
8147
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a15                     ;
8148
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a15~porta_address_reg0  ;
8149
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a1~porta_address_reg0   ;
8150
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a2                      ;
8151
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a2~porta_address_reg0   ;
8152
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a3                      ;
8153
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a3~porta_address_reg0   ;
8154
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a4                      ;
8155
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a4~porta_address_reg0   ;
8156
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a5                      ;
8157
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a5~porta_address_reg0   ;
8158
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a6                      ;
8159
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a6~porta_address_reg0   ;
8160
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a7                      ;
8161
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a7~porta_address_reg0   ;
8162
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a8                      ;
8163
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a8~porta_address_reg0   ;
8164
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a9                      ;
8165
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a9~porta_address_reg0   ;
8166
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[0]                                                           ;
8167
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[1]                                                           ;
8168
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[2]                                                           ;
8169
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|blue_out[3]                                                           ;
8170
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[0]                                                            ;
8171
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[1]                                                            ;
8172
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[2]                                                            ;
8173
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[3]                                                            ;
8174
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[4]                                                            ;
8175
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[5]                                                            ;
8176
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[6]                                                            ;
8177
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[7]                                                            ;
8178
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[8]                                                            ;
8179
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|h_count[9]                                                            ;
8180
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|horiz_sync                                                            ;
8181
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|horiz_sync_out                                                        ;
8182
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[0]                                                       ;
8183
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[1]                                                       ;
8184
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[2]                                                       ;
8185
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[3]                                                       ;
8186
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[4]                                                       ;
8187
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[5]                                                       ;
8188
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[6]                                                       ;
8189
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[7]                                                       ;
8190
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[8]                                                       ;
8191
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_column[9]                                                       ;
8192
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[0]                                                          ;
8193
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[1]                                                          ;
8194
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[2]                                                          ;
8195
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[3]                                                          ;
8196
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[4]                                                          ;
8197
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[5]                                                          ;
8198
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[6]                                                          ;
8199
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[7]                                                          ;
8200
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|pixel_row[8]                                                          ;
8201
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[0]                                                            ;
8202
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[1]                                                            ;
8203
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[2]                                                            ;
8204
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[3]                                                            ;
8205
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[4]                                                            ;
8206
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[5]                                                            ;
8207
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[6]                                                            ;
8208
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[7]                                                            ;
8209
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[8]                                                            ;
8210
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|v_count[9]                                                            ;
8211
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|vert_sync                                                             ;
8212
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|vert_sync_out                                                         ;
8213
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|video_on_h                                                            ;
8214
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; video:video_inst|VGA_SYNC:vga_sync_inst|video_on_v                                                            ;
8215
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a0~portb_address_reg0 ;
8216
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a1~portb_address_reg0 ;
8217
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a2~portb_address_reg0 ;
8218
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a3~portb_address_reg0 ;
8219
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a4~portb_address_reg0 ;
8220
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a5~portb_address_reg0 ;
8221
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a6~portb_address_reg0 ;
8222
; -1.000 ; 1.000        ; 2.000          ; Min Period      ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; vram:vram_inst|altsyncram:altsyncram_component|altsyncram_oal1:auto_generated|ram_block1a7~portb_address_reg0 ;
8223
; 0.194  ; 0.424        ; 0.230          ; Low Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a5~porta_address_reg0   ;
8224
; 0.194  ; 0.424        ; 0.230          ; Low Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a8~porta_address_reg0   ;
8225
; 0.195  ; 0.425        ; 0.230          ; Low Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; charram:cram|altsyncram:altsyncram_component|altsyncram_l4o1:auto_generated|ram_block1a0~portb_address_reg0   ;
8226
; 0.195  ; 0.425        ; 0.230          ; Low Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a10~porta_address_reg0  ;
8227
; 0.195  ; 0.425        ; 0.230          ; Low Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a3~porta_address_reg0   ;
8228
; 0.195  ; 0.425        ; 0.230          ; Low Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a5                      ;
8229
; 0.195  ; 0.425        ; 0.230          ; Low Pulse Width ; clk_div:clkdiv_inst|clock_25MHz ; Rise       ; rom:rom_inst|altsyncram:altsyncram_component|altsyncram_f0a1:auto_generated|ram_block1a8                      ;
8230
+--------+--------------+----------------+-----------------+---------------------------------+------------+---------------------------------------------------------------------------------------------------------------+
8231
 
8232
 
8233
+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
8234
; Fast 1200mV 0C Model Minimum Pulse Width: 'ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered'                                                                                             ;
8235
+--------+--------------+----------------+------------------+-------------------------------------------------------------+------------+--------------------------------------------------------------+
8236
; Slack  ; Actual Width ; Required Width ; Type             ; Clock                                                       ; Clock Edge ; Target                                                       ;
8237
+--------+--------------+----------------+------------------+-------------------------------------------------------------+------------+--------------------------------------------------------------+
8238
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]               ;
8239
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]               ;
8240
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]               ;
8241
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]               ;
8242
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR              ;
8243
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]             ;
8244
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]             ;
8245
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]             ;
8246
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]             ;
8247
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]             ;
8248
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]             ;
8249
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]             ;
8250
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]             ;
8251
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]             ;
8252
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set              ;
8253
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0]           ;
8254
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1]           ;
8255
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2]           ;
8256
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3]           ;
8257
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4]           ;
8258
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5]           ;
8259
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6]           ;
8260
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7]           ;
8261
; 0.156  ; 0.340        ; 0.184          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]               ;
8262
; 0.156  ; 0.340        ; 0.184          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]               ;
8263
; 0.156  ; 0.340        ; 0.184          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]               ;
8264
; 0.156  ; 0.340        ; 0.184          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]               ;
8265
; 0.156  ; 0.340        ; 0.184          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR              ;
8266
; 0.156  ; 0.340        ; 0.184          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set              ;
8267
; 0.156  ; 0.340        ; 0.184          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5]           ;
8268
; 0.157  ; 0.341        ; 0.184          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]             ;
8269
; 0.157  ; 0.341        ; 0.184          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]             ;
8270
; 0.157  ; 0.341        ; 0.184          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]             ;
8271
; 0.157  ; 0.341        ; 0.184          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]             ;
8272
; 0.157  ; 0.341        ; 0.184          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]             ;
8273
; 0.157  ; 0.341        ; 0.184          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]             ;
8274
; 0.157  ; 0.341        ; 0.184          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]             ;
8275
; 0.157  ; 0.341        ; 0.184          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]             ;
8276
; 0.157  ; 0.341        ; 0.184          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]             ;
8277
; 0.157  ; 0.341        ; 0.184          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0]           ;
8278
; 0.157  ; 0.341        ; 0.184          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1]           ;
8279
; 0.157  ; 0.341        ; 0.184          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3]           ;
8280
; 0.157  ; 0.341        ; 0.184          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4]           ;
8281
; 0.157  ; 0.341        ; 0.184          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6]           ;
8282
; 0.157  ; 0.341        ; 0.184          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7]           ;
8283
; 0.158  ; 0.342        ; 0.184          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2]           ;
8284
; 0.336  ; 0.336        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|INCNT[0]|clk                           ;
8285
; 0.336  ; 0.336        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|INCNT[1]|clk                           ;
8286
; 0.336  ; 0.336        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|INCNT[2]|clk                           ;
8287
; 0.336  ; 0.336        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|INCNT[3]|clk                           ;
8288
; 0.336  ; 0.336        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|READ_CHAR|clk                          ;
8289
; 0.336  ; 0.336        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|ready_set|clk                          ;
8290
; 0.336  ; 0.336        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|scan_code[5]|clk                       ;
8291
; 0.337  ; 0.337        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|SHIFTIN[0]|clk                         ;
8292
; 0.337  ; 0.337        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|SHIFTIN[1]|clk                         ;
8293
; 0.337  ; 0.337        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|SHIFTIN[2]|clk                         ;
8294
; 0.337  ; 0.337        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|SHIFTIN[3]|clk                         ;
8295
; 0.337  ; 0.337        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|SHIFTIN[4]|clk                         ;
8296
; 0.337  ; 0.337        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|SHIFTIN[5]|clk                         ;
8297
; 0.337  ; 0.337        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|SHIFTIN[6]|clk                         ;
8298
; 0.337  ; 0.337        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|SHIFTIN[7]|clk                         ;
8299
; 0.337  ; 0.337        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|SHIFTIN[8]|clk                         ;
8300
; 0.337  ; 0.337        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|scan_code[0]|clk                       ;
8301
; 0.337  ; 0.337        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|scan_code[1]|clk                       ;
8302
; 0.337  ; 0.337        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|scan_code[3]|clk                       ;
8303
; 0.337  ; 0.337        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|scan_code[4]|clk                       ;
8304
; 0.337  ; 0.337        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|scan_code[6]|clk                       ;
8305
; 0.337  ; 0.337        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|scan_code[7]|clk                       ;
8306
; 0.338  ; 0.338        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|scan_code[2]|clk                       ;
8307
; 0.352  ; 0.352        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|keyboard_clk_filtered~clkctrl|inclk[0] ;
8308
; 0.352  ; 0.352        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|keyboard_clk_filtered~clkctrl|outclk   ;
8309
; 0.435  ; 0.651        ; 0.216          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[0]               ;
8310
; 0.435  ; 0.651        ; 0.216          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[1]               ;
8311
; 0.435  ; 0.651        ; 0.216          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[2]               ;
8312
; 0.435  ; 0.651        ; 0.216          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|INCNT[3]               ;
8313
; 0.435  ; 0.651        ; 0.216          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|READ_CHAR              ;
8314
; 0.435  ; 0.651        ; 0.216          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set              ;
8315
; 0.435  ; 0.651        ; 0.216          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[0]           ;
8316
; 0.435  ; 0.651        ; 0.216          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[1]           ;
8317
; 0.435  ; 0.651        ; 0.216          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[2]           ;
8318
; 0.435  ; 0.651        ; 0.216          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[3]           ;
8319
; 0.435  ; 0.651        ; 0.216          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[4]           ;
8320
; 0.435  ; 0.651        ; 0.216          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[5]           ;
8321
; 0.435  ; 0.651        ; 0.216          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[6]           ;
8322
; 0.435  ; 0.651        ; 0.216          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_code[7]           ;
8323
; 0.436  ; 0.652        ; 0.216          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[0]             ;
8324
; 0.436  ; 0.652        ; 0.216          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[1]             ;
8325
; 0.436  ; 0.652        ; 0.216          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[2]             ;
8326
; 0.436  ; 0.652        ; 0.216          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[3]             ;
8327
; 0.436  ; 0.652        ; 0.216          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[4]             ;
8328
; 0.436  ; 0.652        ; 0.216          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[5]             ;
8329
; 0.436  ; 0.652        ; 0.216          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[6]             ;
8330
; 0.436  ; 0.652        ; 0.216          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[7]             ;
8331
; 0.436  ; 0.652        ; 0.216          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|SHIFTIN[8]             ;
8332
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|keyboard_clk_filtered|q                ;
8333
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|keyboard_clk_filtered|q                ;
8334
; 0.643  ; 0.643        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|keyboard_clk_filtered~clkctrl|inclk[0] ;
8335
; 0.643  ; 0.643        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|keyboard_clk_filtered~clkctrl|outclk   ;
8336
; 0.657  ; 0.657        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|INCNT[0]|clk                           ;
8337
; 0.657  ; 0.657        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; Rise       ; ps2_kbd_inst|kbd_inst|INCNT[1]|clk                           ;
8338
+--------+--------------+----------------+------------------+-------------------------------------------------------------+------------+--------------------------------------------------------------+
8339
 
8340
 
8341
+--------------------------------------------------------------------------------------------------------------------------------------+
8342
; Fast 1200mV 0C Model Minimum Pulse Width: 'LCD:lcd_inst|clk_400hz_enable'                                                            ;
8343
+--------+--------------+----------------+------------------+-------------------------------+------------+-----------------------------+
8344
; Slack  ; Actual Width ; Required Width ; Type             ; Clock                         ; Clock Edge ; Target                      ;
8345
+--------+--------------+----------------+------------------+-------------------------------+------------+-----------------------------+
8346
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[0]            ;
8347
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[1]            ;
8348
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[2]            ;
8349
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[3]            ;
8350
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[4]            ;
8351
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[5]            ;
8352
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[6]            ;
8353
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[7]            ;
8354
; 0.209  ; 0.393        ; 0.184          ; Low Pulse Width  ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[6]            ;
8355
; 0.215  ; 0.399        ; 0.184          ; Low Pulse Width  ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[4]            ;
8356
; 0.217  ; 0.401        ; 0.184          ; Low Pulse Width  ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[1]            ;
8357
; 0.217  ; 0.401        ; 0.184          ; Low Pulse Width  ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[5]            ;
8358
; 0.222  ; 0.406        ; 0.184          ; Low Pulse Width  ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[3]            ;
8359
; 0.223  ; 0.407        ; 0.184          ; Low Pulse Width  ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[2]            ;
8360
; 0.226  ; 0.410        ; 0.184          ; Low Pulse Width  ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[0]            ;
8361
; 0.235  ; 0.419        ; 0.184          ; Low Pulse Width  ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[7]            ;
8362
; 0.360  ; 0.576        ; 0.216          ; High Pulse Width ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[7]            ;
8363
; 0.368  ; 0.584        ; 0.216          ; High Pulse Width ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[0]            ;
8364
; 0.371  ; 0.587        ; 0.216          ; High Pulse Width ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[2]            ;
8365
; 0.373  ; 0.589        ; 0.216          ; High Pulse Width ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[3]            ;
8366
; 0.377  ; 0.593        ; 0.216          ; High Pulse Width ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[1]            ;
8367
; 0.377  ; 0.593        ; 0.216          ; High Pulse Width ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[5]            ;
8368
; 0.379  ; 0.595        ; 0.216          ; High Pulse Width ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[4]            ;
8369
; 0.385  ; 0.601        ; 0.216          ; High Pulse Width ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[6]            ;
8370
; 0.389  ; 0.389        ; 0.000          ; Low Pulse Width  ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[6]|clk        ;
8371
; 0.395  ; 0.395        ; 0.000          ; Low Pulse Width  ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[4]|clk        ;
8372
; 0.397  ; 0.397        ; 0.000          ; Low Pulse Width  ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[1]|clk        ;
8373
; 0.397  ; 0.397        ; 0.000          ; Low Pulse Width  ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[5]|clk        ;
8374
; 0.402  ; 0.402        ; 0.000          ; Low Pulse Width  ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[3]|clk        ;
8375
; 0.403  ; 0.403        ; 0.000          ; Low Pulse Width  ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[2]|clk        ;
8376
; 0.406  ; 0.406        ; 0.000          ; Low Pulse Width  ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[0]|clk        ;
8377
; 0.415  ; 0.415        ; 0.000          ; Low Pulse Width  ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[7]|clk        ;
8378
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; lcd_inst|clk_400hz_enable|q ;
8379
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; lcd_inst|clk_400hz_enable|q ;
8380
; 0.582  ; 0.582        ; 0.000          ; High Pulse Width ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[7]|clk        ;
8381
; 0.590  ; 0.590        ; 0.000          ; High Pulse Width ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[0]|clk        ;
8382
; 0.593  ; 0.593        ; 0.000          ; High Pulse Width ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[2]|clk        ;
8383
; 0.595  ; 0.595        ; 0.000          ; High Pulse Width ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[3]|clk        ;
8384
; 0.599  ; 0.599        ; 0.000          ; High Pulse Width ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[1]|clk        ;
8385
; 0.599  ; 0.599        ; 0.000          ; High Pulse Width ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[5]|clk        ;
8386
; 0.601  ; 0.601        ; 0.000          ; High Pulse Width ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[4]|clk        ;
8387
; 0.607  ; 0.607        ; 0.000          ; High Pulse Width ; LCD:lcd_inst|clk_400hz_enable ; Rise       ; next_char_sig[6]|clk        ;
8388
+--------+--------------+----------------+------------------+-------------------------------+------------+-----------------------------+
8389
 
8390
 
8391
+---------------------------------------------------------------------------------------------------------------------------------------------------+
8392
; Fast 1200mV 0C Model Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_25Mhz_int'                                                                   ;
8393
+--------+--------------+----------------+------------------+-------------------------------------+------------+------------------------------------+
8394
; Slack  ; Actual Width ; Required Width ; Type             ; Clock                               ; Clock Edge ; Target                             ;
8395
+--------+--------------+----------------+------------------+-------------------------------------+------------+------------------------------------+
8396
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|clock_1Mhz_int ;
8397
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[0]  ;
8398
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[1]  ;
8399
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[2]  ;
8400
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[3]  ;
8401
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[4]  ;
8402
; 0.279  ; 0.463        ; 0.184          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|clock_1Mhz_int ;
8403
; 0.279  ; 0.463        ; 0.184          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[0]  ;
8404
; 0.279  ; 0.463        ; 0.184          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[1]  ;
8405
; 0.279  ; 0.463        ; 0.184          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[2]  ;
8406
; 0.279  ; 0.463        ; 0.184          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[3]  ;
8407
; 0.279  ; 0.463        ; 0.184          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[4]  ;
8408
; 0.318  ; 0.534        ; 0.216          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|clock_1Mhz_int ;
8409
; 0.318  ; 0.534        ; 0.216          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[0]  ;
8410
; 0.318  ; 0.534        ; 0.216          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[1]  ;
8411
; 0.318  ; 0.534        ; 0.216          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[2]  ;
8412
; 0.318  ; 0.534        ; 0.216          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[3]  ;
8413
; 0.318  ; 0.534        ; 0.216          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_1Mhz[4]  ;
8414
; 0.459  ; 0.459        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|clock_1Mhz_int|clk     ;
8415
; 0.459  ; 0.459        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|count_1Mhz[0]|clk      ;
8416
; 0.459  ; 0.459        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|count_1Mhz[1]|clk      ;
8417
; 0.459  ; 0.459        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|count_1Mhz[2]|clk      ;
8418
; 0.459  ; 0.459        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|count_1Mhz[3]|clk      ;
8419
; 0.459  ; 0.459        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|count_1Mhz[4]|clk      ;
8420
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|clock_25Mhz_int|q      ;
8421
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|clock_25Mhz_int|q      ;
8422
; 0.540  ; 0.540        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|clock_1Mhz_int|clk     ;
8423
; 0.540  ; 0.540        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|count_1Mhz[0]|clk      ;
8424
; 0.540  ; 0.540        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|count_1Mhz[1]|clk      ;
8425
; 0.540  ; 0.540        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|count_1Mhz[2]|clk      ;
8426
; 0.540  ; 0.540        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|count_1Mhz[3]|clk      ;
8427
; 0.540  ; 0.540        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_25Mhz_int ; Rise       ; clkdiv_inst|count_1Mhz[4]|clk      ;
8428
+--------+--------------+----------------+------------------+-------------------------------------+------------+------------------------------------+
8429
 
8430
 
8431
+-----------------------------------------------------------------------------------------------------------------------------------------------------+
8432
; Fast 1200mV 0C Model Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_100Khz_int'                                                                    ;
8433
+--------+--------------+----------------+------------------+--------------------------------------+------------+-------------------------------------+
8434
; Slack  ; Actual Width ; Required Width ; Type             ; Clock                                ; Clock Edge ; Target                              ;
8435
+--------+--------------+----------------+------------------+--------------------------------------+------------+-------------------------------------+
8436
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clk_div:clkdiv_inst|clock_10Khz_int ;
8437
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clk_div:clkdiv_inst|count_10Khz[0]  ;
8438
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clk_div:clkdiv_inst|count_10Khz[1]  ;
8439
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clk_div:clkdiv_inst|count_10Khz[2]  ;
8440
; 0.179  ; 0.363        ; 0.184          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clk_div:clkdiv_inst|clock_10Khz_int ;
8441
; 0.179  ; 0.363        ; 0.184          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clk_div:clkdiv_inst|count_10Khz[0]  ;
8442
; 0.179  ; 0.363        ; 0.184          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clk_div:clkdiv_inst|count_10Khz[1]  ;
8443
; 0.179  ; 0.363        ; 0.184          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clk_div:clkdiv_inst|count_10Khz[2]  ;
8444
; 0.359  ; 0.359        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clkdiv_inst|clock_10Khz_int|clk     ;
8445
; 0.359  ; 0.359        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clkdiv_inst|count_10Khz[0]|clk      ;
8446
; 0.359  ; 0.359        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clkdiv_inst|count_10Khz[1]|clk      ;
8447
; 0.359  ; 0.359        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clkdiv_inst|count_10Khz[2]|clk      ;
8448
; 0.414  ; 0.630        ; 0.216          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clk_div:clkdiv_inst|clock_10Khz_int ;
8449
; 0.414  ; 0.630        ; 0.216          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clk_div:clkdiv_inst|count_10Khz[0]  ;
8450
; 0.414  ; 0.630        ; 0.216          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clk_div:clkdiv_inst|count_10Khz[1]  ;
8451
; 0.414  ; 0.630        ; 0.216          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clk_div:clkdiv_inst|count_10Khz[2]  ;
8452
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clkdiv_inst|clock_100Khz_int|q      ;
8453
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clkdiv_inst|clock_100Khz_int|q      ;
8454
; 0.636  ; 0.636        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clkdiv_inst|clock_10Khz_int|clk     ;
8455
; 0.636  ; 0.636        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clkdiv_inst|count_10Khz[0]|clk      ;
8456
; 0.636  ; 0.636        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clkdiv_inst|count_10Khz[1]|clk      ;
8457
; 0.636  ; 0.636        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Khz_int ; Rise       ; clkdiv_inst|count_10Khz[2]|clk      ;
8458
+--------+--------------+----------------+------------------+--------------------------------------+------------+-------------------------------------+
8459
 
8460
 
8461
+---------------------------------------------------------------------------------------------------------------------------------------------------+
8462
; Fast 1200mV 0C Model Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_10Khz_int'                                                                   ;
8463
+--------+--------------+----------------+------------------+-------------------------------------+------------+------------------------------------+
8464
; Slack  ; Actual Width ; Required Width ; Type             ; Clock                               ; Clock Edge ; Target                             ;
8465
+--------+--------------+----------------+------------------+-------------------------------------+------------+------------------------------------+
8466
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clk_div:clkdiv_inst|clock_1Khz_int ;
8467
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clk_div:clkdiv_inst|count_1Khz[0]  ;
8468
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clk_div:clkdiv_inst|count_1Khz[1]  ;
8469
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clk_div:clkdiv_inst|count_1Khz[2]  ;
8470
; 0.274  ; 0.458        ; 0.184          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clk_div:clkdiv_inst|clock_1Khz_int ;
8471
; 0.274  ; 0.458        ; 0.184          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clk_div:clkdiv_inst|count_1Khz[0]  ;
8472
; 0.274  ; 0.458        ; 0.184          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clk_div:clkdiv_inst|count_1Khz[1]  ;
8473
; 0.274  ; 0.458        ; 0.184          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clk_div:clkdiv_inst|count_1Khz[2]  ;
8474
; 0.323  ; 0.539        ; 0.216          ; High Pulse Width ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clk_div:clkdiv_inst|clock_1Khz_int ;
8475
; 0.323  ; 0.539        ; 0.216          ; High Pulse Width ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clk_div:clkdiv_inst|count_1Khz[0]  ;
8476
; 0.323  ; 0.539        ; 0.216          ; High Pulse Width ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clk_div:clkdiv_inst|count_1Khz[1]  ;
8477
; 0.323  ; 0.539        ; 0.216          ; High Pulse Width ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clk_div:clkdiv_inst|count_1Khz[2]  ;
8478
; 0.454  ; 0.454        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clkdiv_inst|clock_1Khz_int|clk     ;
8479
; 0.454  ; 0.454        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clkdiv_inst|count_1Khz[0]|clk      ;
8480
; 0.454  ; 0.454        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clkdiv_inst|count_1Khz[1]|clk      ;
8481
; 0.454  ; 0.454        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clkdiv_inst|count_1Khz[2]|clk      ;
8482
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clkdiv_inst|clock_10Khz_int|q      ;
8483
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clkdiv_inst|clock_10Khz_int|q      ;
8484
; 0.545  ; 0.545        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clkdiv_inst|clock_1Khz_int|clk     ;
8485
; 0.545  ; 0.545        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clkdiv_inst|count_1Khz[0]|clk      ;
8486
; 0.545  ; 0.545        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clkdiv_inst|count_1Khz[1]|clk      ;
8487
; 0.545  ; 0.545        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_10Khz_int ; Rise       ; clkdiv_inst|count_1Khz[2]|clk      ;
8488
+--------+--------------+----------------+------------------+-------------------------------------+------------+------------------------------------+
8489
 
8490
 
8491
+---------------------------------------------------------------------------------------------------------------------------------------------------+
8492
; Fast 1200mV 0C Model Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_1Khz_int'                                                                    ;
8493
+--------+--------------+----------------+------------------+------------------------------------+------------+-------------------------------------+
8494
; Slack  ; Actual Width ; Required Width ; Type             ; Clock                              ; Clock Edge ; Target                              ;
8495
+--------+--------------+----------------+------------------+------------------------------------+------------+-------------------------------------+
8496
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clk_div:clkdiv_inst|clock_100hz_int ;
8497
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clk_div:clkdiv_inst|count_100hz[0]  ;
8498
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clk_div:clkdiv_inst|count_100hz[1]  ;
8499
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clk_div:clkdiv_inst|count_100hz[2]  ;
8500
; 0.256  ; 0.440        ; 0.184          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clk_div:clkdiv_inst|clock_100hz_int ;
8501
; 0.256  ; 0.440        ; 0.184          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clk_div:clkdiv_inst|count_100hz[0]  ;
8502
; 0.256  ; 0.440        ; 0.184          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clk_div:clkdiv_inst|count_100hz[1]  ;
8503
; 0.256  ; 0.440        ; 0.184          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clk_div:clkdiv_inst|count_100hz[2]  ;
8504
; 0.339  ; 0.555        ; 0.216          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clk_div:clkdiv_inst|clock_100hz_int ;
8505
; 0.339  ; 0.555        ; 0.216          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clk_div:clkdiv_inst|count_100hz[0]  ;
8506
; 0.339  ; 0.555        ; 0.216          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clk_div:clkdiv_inst|count_100hz[1]  ;
8507
; 0.339  ; 0.555        ; 0.216          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clk_div:clkdiv_inst|count_100hz[2]  ;
8508
; 0.436  ; 0.436        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clkdiv_inst|clock_100hz_int|clk     ;
8509
; 0.436  ; 0.436        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clkdiv_inst|count_100hz[0]|clk      ;
8510
; 0.436  ; 0.436        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clkdiv_inst|count_100hz[1]|clk      ;
8511
; 0.436  ; 0.436        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clkdiv_inst|count_100hz[2]|clk      ;
8512
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clkdiv_inst|clock_1Khz_int|q        ;
8513
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clkdiv_inst|clock_1Khz_int|q        ;
8514
; 0.561  ; 0.561        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clkdiv_inst|clock_100hz_int|clk     ;
8515
; 0.561  ; 0.561        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clkdiv_inst|count_100hz[0]|clk      ;
8516
; 0.561  ; 0.561        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clkdiv_inst|count_100hz[1]|clk      ;
8517
; 0.561  ; 0.561        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Khz_int ; Rise       ; clkdiv_inst|count_100hz[2]|clk      ;
8518
+--------+--------------+----------------+------------------+------------------------------------+------------+-------------------------------------+
8519
 
8520
 
8521
+----------------------------------------------------------------------------------------------------------------------------------------------------+
8522
; Fast 1200mV 0C Model Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_1Mhz_int'                                                                     ;
8523
+--------+--------------+----------------+------------------+------------------------------------+------------+--------------------------------------+
8524
; Slack  ; Actual Width ; Required Width ; Type             ; Clock                              ; Clock Edge ; Target                               ;
8525
+--------+--------------+----------------+------------------+------------------------------------+------------+--------------------------------------+
8526
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clk_div:clkdiv_inst|clock_100Khz_int ;
8527
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_100Khz[0]  ;
8528
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_100Khz[1]  ;
8529
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_100Khz[2]  ;
8530
; 0.272  ; 0.456        ; 0.184          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clk_div:clkdiv_inst|clock_100Khz_int ;
8531
; 0.272  ; 0.456        ; 0.184          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_100Khz[0]  ;
8532
; 0.272  ; 0.456        ; 0.184          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_100Khz[1]  ;
8533
; 0.272  ; 0.456        ; 0.184          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_100Khz[2]  ;
8534
; 0.324  ; 0.540        ; 0.216          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clk_div:clkdiv_inst|clock_100Khz_int ;
8535
; 0.324  ; 0.540        ; 0.216          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_100Khz[0]  ;
8536
; 0.324  ; 0.540        ; 0.216          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_100Khz[1]  ;
8537
; 0.324  ; 0.540        ; 0.216          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clk_div:clkdiv_inst|count_100Khz[2]  ;
8538
; 0.452  ; 0.452        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clkdiv_inst|clock_100Khz_int|clk     ;
8539
; 0.452  ; 0.452        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clkdiv_inst|count_100Khz[0]|clk      ;
8540
; 0.452  ; 0.452        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clkdiv_inst|count_100Khz[1]|clk      ;
8541
; 0.452  ; 0.452        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clkdiv_inst|count_100Khz[2]|clk      ;
8542
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clkdiv_inst|clock_1Mhz_int|q         ;
8543
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clkdiv_inst|clock_1Mhz_int|q         ;
8544
; 0.546  ; 0.546        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clkdiv_inst|clock_100Khz_int|clk     ;
8545
; 0.546  ; 0.546        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clkdiv_inst|count_100Khz[0]|clk      ;
8546
; 0.546  ; 0.546        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clkdiv_inst|count_100Khz[1]|clk      ;
8547
; 0.546  ; 0.546        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_1Mhz_int ; Rise       ; clkdiv_inst|count_100Khz[2]|clk      ;
8548
+--------+--------------+----------------+------------------+------------------------------------+------------+--------------------------------------+
8549
 
8550
 
8551
+----------------------------------------------------------------------------------------------------------------------------------------+
8552
; Fast 1200mV 0C Model Minimum Pulse Width: 'clk_div:clkdiv_inst|clock_100Hz'                                                            ;
8553
+--------+--------------+----------------+------------------+---------------------------------+------------+-----------------------------+
8554
; Slack  ; Actual Width ; Required Width ; Type             ; Clock                           ; Clock Edge ; Target                      ;
8555
+--------+--------------+----------------+------------------+---------------------------------+------------+-----------------------------+
8556
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; ps2kbd:ps2_kbd_inst|caps[0] ;
8557
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; ps2kbd:ps2_kbd_inst|caps[1] ;
8558
; 0.259  ; 0.443        ; 0.184          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; ps2kbd:ps2_kbd_inst|caps[0] ;
8559
; 0.259  ; 0.443        ; 0.184          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; ps2kbd:ps2_kbd_inst|caps[1] ;
8560
; 0.338  ; 0.554        ; 0.216          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; ps2kbd:ps2_kbd_inst|caps[0] ;
8561
; 0.338  ; 0.554        ; 0.216          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; ps2kbd:ps2_kbd_inst|caps[1] ;
8562
; 0.439  ; 0.439        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; ps2_kbd_inst|caps[0]|clk    ;
8563
; 0.439  ; 0.439        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; ps2_kbd_inst|caps[1]|clk    ;
8564
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; clkdiv_inst|clock_100Hz|q   ;
8565
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; clkdiv_inst|clock_100Hz|q   ;
8566
; 0.560  ; 0.560        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; ps2_kbd_inst|caps[0]|clk    ;
8567
; 0.560  ; 0.560        ; 0.000          ; High Pulse Width ; clk_div:clkdiv_inst|clock_100Hz ; Rise       ; ps2_kbd_inst|caps[1]|clk    ;
8568
+--------+--------------+----------------+------------------+---------------------------------+------------+-----------------------------+
8569
 
8570
 
8571
+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
8572
; Fast 1200mV 0C Model Minimum Pulse Width: 'ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set'                                                                                 ;
8573
+--------+--------------+----------------+------------------+-------------------------------------------------+------------+--------------------------------------------------+
8574
; Slack  ; Actual Width ; Required Width ; Type             ; Clock                                           ; Clock Edge ; Target                                           ;
8575
+--------+--------------+----------------+------------------+-------------------------------------------------+------------+--------------------------------------------------+
8576
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_ready ;
8577
; 0.243  ; 0.427        ; 0.184          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_ready ;
8578
; 0.351  ; 0.567        ; 0.216          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|scan_ready ;
8579
; 0.423  ; 0.423        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set ; Rise       ; ps2_kbd_inst|kbd_inst|scan_ready|clk             ;
8580
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set ; Rise       ; ps2_kbd_inst|kbd_inst|ready_set|q                ;
8581
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set ; Rise       ; ps2_kbd_inst|kbd_inst|ready_set|q                ;
8582
; 0.573  ; 0.573        ; 0.000          ; High Pulse Width ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set ; Rise       ; ps2_kbd_inst|kbd_inst|scan_ready|clk             ;
8583
+--------+--------------+----------------+------------------+-------------------------------------------------+------------+--------------------------------------------------+
8584
 
8585
 
8586
+-----------------------------------------------------------------------------------------------------------------------+
8587
; Fast 1200mV 0C Model Minimum Pulse Width: 'T80se:z80_inst|MREQ_n'                                                     ;
8588
+--------+--------------+----------------+------------------+-----------------------+------------+----------------------+
8589
; Slack  ; Actual Width ; Required Width ; Type             ; Clock                 ; Clock Edge ; Target               ;
8590
+--------+--------------+----------------+------------------+-----------------------+------------+----------------------+
8591
; -0.120 ; -0.120       ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[6][4]        ;
8592
; -0.115 ; -0.115       ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[6][4]|dataa  ;
8593
; -0.101 ; -0.101       ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[6][0]        ;
8594
; -0.096 ; -0.096       ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[6][6]        ;
8595
; -0.089 ; -0.089       ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[6][1]        ;
8596
; -0.089 ; -0.089       ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[6][5]        ;
8597
; -0.085 ; -0.085       ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[6][0]|dataa  ;
8598
; -0.084 ; -0.084       ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[6][1]|datab  ;
8599
; -0.084 ; -0.084       ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[6][5]|datab  ;
8600
; -0.080 ; -0.080       ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[6][6]|dataa  ;
8601
; -0.079 ; -0.079       ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[6][2]        ;
8602
; -0.079 ; -0.079       ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[6][3]        ;
8603
; -0.077 ; -0.077       ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[14][6]       ;
8604
; -0.076 ; -0.076       ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[6][2]|datac  ;
8605
; -0.076 ; -0.076       ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[6][3]|datac  ;
8606
; -0.075 ; -0.075       ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[6][7]        ;
8607
; -0.072 ; -0.072       ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[6][7]|datac  ;
8608
; -0.069 ; -0.069       ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[14][6]|dataa ;
8609
; -0.067 ; -0.067       ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[12][2]       ;
8610
; -0.066 ; -0.066       ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[12][6]       ;
8611
; -0.064 ; -0.064       ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[12][2]|datac ;
8612
; -0.063 ; -0.063       ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[12][6]|datac ;
8613
; -0.063 ; -0.063       ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[14][2]       ;
8614
; -0.061 ; -0.061       ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[12][7]       ;
8615
; -0.060 ; -0.060       ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[14][2]|datac ;
8616
; -0.058 ; -0.058       ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[14][7]       ;
8617
; -0.058 ; -0.058       ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[31][1]|datad ;
8618
; -0.058 ; -0.058       ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[31][6]|datad ;
8619
; -0.058 ; -0.058       ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[31][7]|datad ;
8620
; -0.055 ; -0.055       ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[14][1]|datad ;
8621
; -0.055 ; -0.055       ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[31][0]|datad ;
8622
; -0.055 ; -0.055       ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[31][3]|datad ;
8623
; -0.055 ; -0.055       ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[31][5]|datad ;
8624
; -0.054 ; -0.054       ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[31][2]|datad ;
8625
; -0.054 ; -0.054       ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[31][4]|datad ;
8626
; -0.053 ; -0.053       ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[31][1]       ;
8627
; -0.053 ; -0.053       ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[31][6]       ;
8628
; -0.053 ; -0.053       ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[31][7]       ;
8629
; -0.051 ; -0.051       ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[15][6]       ;
8630
; -0.051 ; -0.051       ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[7][1]        ;
8631
; -0.051 ; -0.051       ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[8][0]        ;
8632
; -0.050 ; -0.050       ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[12][1]       ;
8633
; -0.050 ; -0.050       ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[12][4]       ;
8634
; -0.050 ; -0.050       ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[14][1]       ;
8635
; -0.050 ; -0.050       ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[31][0]       ;
8636
; -0.050 ; -0.050       ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[31][3]       ;
8637
; -0.050 ; -0.050       ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[31][5]       ;
8638
; -0.049 ; -0.049       ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[12][0]       ;
8639
; -0.049 ; -0.049       ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[31][2]       ;
8640
; -0.049 ; -0.049       ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[31][4]       ;
8641
; -0.048 ; -0.048       ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[14][4]       ;
8642
; -0.048 ; -0.048       ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[15][4]       ;
8643
; -0.048 ; -0.048       ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[15][6]|datac ;
8644
; -0.048 ; -0.048       ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[5][5]        ;
8645
; -0.048 ; -0.048       ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[8][0]|datac  ;
8646
; -0.047 ; -0.047       ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[12][1]|datac ;
8647
; -0.047 ; -0.047       ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[12][4]|datac ;
8648
; -0.046 ; -0.046       ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[14][0]       ;
8649
; -0.046 ; -0.046       ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[15][3]       ;
8650
; -0.046 ; -0.046       ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[15][7]       ;
8651
; -0.046 ; -0.046       ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[7][1]|datab  ;
8652
; -0.045 ; -0.045       ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[14][4]|datac ;
8653
; -0.045 ; -0.045       ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[15][0]       ;
8654
; -0.044 ; -0.044       ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[12][0]|datab ;
8655
; -0.044 ; -0.044       ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[12][7]|datab ;
8656
; -0.044 ; -0.044       ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[15][2]       ;
8657
; -0.044 ; -0.044       ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[8][2]        ;
8658
; -0.044 ; -0.044       ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[9][0]        ;
8659
; -0.041 ; -0.041       ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[14][0]|datab ;
8660
; -0.041 ; -0.041       ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[14][7]|datab ;
8661
; -0.041 ; -0.041       ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[15][7]|dataa ;
8662
; -0.041 ; -0.041       ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[4][5]        ;
8663
; -0.040 ; -0.040       ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[15][0]|dataa ;
8664
; -0.040 ; -0.040       ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[4][1]        ;
8665
; -0.039 ; -0.039       ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[15][2]|dataa ;
8666
; -0.039 ; -0.039       ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[17][2]       ;
8667
; -0.038 ; -0.038       ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[15][3]|dataa ;
8668
; -0.038 ; -0.038       ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[17][5]       ;
8669
; -0.038 ; -0.038       ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[17][7]       ;
8670
; -0.038 ; -0.038       ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[27][5]       ;
8671
; -0.038 ; -0.038       ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[4][5]|datac  ;
8672
; -0.038 ; -0.038       ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[7][3]        ;
8673
; -0.038 ; -0.038       ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[7][7]        ;
8674
; -0.037 ; -0.037       ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[12][3]       ;
8675
; -0.037 ; -0.037       ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[27][4]       ;
8676
; -0.037 ; -0.037       ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[4][1]|datac  ;
8677
; -0.036 ; -0.036       ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[17][0]       ;
8678
; -0.036 ; -0.036       ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[17][1]       ;
8679
; -0.036 ; -0.036       ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[17][2]|datac ;
8680
; -0.036 ; -0.036       ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[17][3]       ;
8681
; -0.036 ; -0.036       ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[17][4]       ;
8682
; -0.036 ; -0.036       ; 0.000          ; High Pulse Width ; T80se:z80_inst|MREQ_n ; Fall       ; lcdvram[17][6]       ;
8683
; -0.036 ; -0.036       ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[8][2]|dataa  ;
8684
; -0.036 ; -0.036       ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[9][0]|dataa  ;
8685
; -0.035 ; -0.035       ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[17][5]|datac ;
8686
; -0.035 ; -0.035       ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[17][7]|datac ;
8687
; -0.035 ; -0.035       ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[27][5]|datac ;
8688
; -0.035 ; -0.035       ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[5][6]|datad  ;
8689
; -0.035 ; -0.035       ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[7][3]|datac  ;
8690
; -0.035 ; -0.035       ; 0.000          ; Low Pulse Width  ; T80se:z80_inst|MREQ_n ; Rise       ; lcdvram[7][7]|datac  ;
8691
+--------+--------------+----------------+------------------+-----------------------+------------+----------------------+
8692
 
8693
 
8694
+----------------------------------------------------------------------------------------------------------------------------------------------------------------------+
8695
; Setup Times                                                                                                                                                          ;
8696
+-------------+-------------------------------------------------------------+-------+-------+------------+-------------------------------------------------------------+
8697
; Data Port   ; Clock Port                                                  ; Rise  ; Fall  ; Clock Edge ; Clock Reference                                             ;
8698
+-------------+-------------------------------------------------------------+-------+-------+------------+-------------------------------------------------------------+
8699
; PS2_CLK     ; CLOCK_50                                                    ; 1.691 ; 2.646 ; Rise       ; CLOCK_50                                                    ;
8700
; SW[*]       ; CLOCK_50                                                    ; 2.474 ; 3.338 ; Rise       ; CLOCK_50                                                    ;
8701
;  SW[17]     ; CLOCK_50                                                    ; 2.474 ; 3.338 ; Rise       ; CLOCK_50                                                    ;
8702
; KEY[*]      ; SW[16]                                                      ; 1.772 ; 2.603 ; Rise       ; SW[16]                                                      ;
8703
;  KEY[0]     ; SW[16]                                                      ; 1.772 ; 2.603 ; Rise       ; SW[16]                                                      ;
8704
;  KEY[1]     ; SW[16]                                                      ; 1.499 ; 2.385 ; Rise       ; SW[16]                                                      ;
8705
;  KEY[2]     ; SW[16]                                                      ; 1.350 ; 2.184 ; Rise       ; SW[16]                                                      ;
8706
;  KEY[3]     ; SW[16]                                                      ; 0.720 ; 1.487 ; Rise       ; SW[16]                                                      ;
8707
; SRAM_DQ[*]  ; SW[16]                                                      ; 1.544 ; 2.610 ; Rise       ; SW[16]                                                      ;
8708
;  SRAM_DQ[0] ; SW[16]                                                      ; 1.544 ; 2.610 ; Rise       ; SW[16]                                                      ;
8709
;  SRAM_DQ[1] ; SW[16]                                                      ; 1.441 ; 2.513 ; Rise       ; SW[16]                                                      ;
8710
;  SRAM_DQ[2] ; SW[16]                                                      ; 1.072 ; 2.111 ; Rise       ; SW[16]                                                      ;
8711
;  SRAM_DQ[3] ; SW[16]                                                      ; 1.159 ; 2.253 ; Rise       ; SW[16]                                                      ;
8712
;  SRAM_DQ[4] ; SW[16]                                                      ; 0.888 ; 1.878 ; Rise       ; SW[16]                                                      ;
8713
;  SRAM_DQ[5] ; SW[16]                                                      ; 0.893 ; 1.837 ; Rise       ; SW[16]                                                      ;
8714
;  SRAM_DQ[6] ; SW[16]                                                      ; 1.230 ; 2.192 ; Rise       ; SW[16]                                                      ;
8715
;  SRAM_DQ[7] ; SW[16]                                                      ; 1.151 ; 2.117 ; Rise       ; SW[16]                                                      ;
8716
; SW[*]       ; SW[16]                                                      ; 1.745 ; 2.700 ; Rise       ; SW[16]                                                      ;
8717
;  SW[0]      ; SW[16]                                                      ; 1.745 ; 2.700 ; Rise       ; SW[16]                                                      ;
8718
;  SW[1]      ; SW[16]                                                      ; 1.004 ; 1.965 ; Rise       ; SW[16]                                                      ;
8719
;  SW[2]      ; SW[16]                                                      ; 1.431 ; 2.401 ; Rise       ; SW[16]                                                      ;
8720
;  SW[3]      ; SW[16]                                                      ; 0.934 ; 1.975 ; Rise       ; SW[16]                                                      ;
8721
;  SW[4]      ; SW[16]                                                      ; 0.476 ; 1.466 ; Rise       ; SW[16]                                                      ;
8722
;  SW[5]      ; SW[16]                                                      ; 0.634 ; 1.635 ; Rise       ; SW[16]                                                      ;
8723
;  SW[6]      ; SW[16]                                                      ; 0.994 ; 2.023 ; Rise       ; SW[16]                                                      ;
8724
;  SW[7]      ; SW[16]                                                      ; 0.945 ; 1.985 ; Rise       ; SW[16]                                                      ;
8725
;  SW[8]      ; SW[16]                                                      ; 1.525 ; 2.587 ; Rise       ; SW[16]                                                      ;
8726
;  SW[9]      ; SW[16]                                                      ; 1.466 ; 2.470 ; Rise       ; SW[16]                                                      ;
8727
;  SW[10]     ; SW[16]                                                      ; 1.177 ; 2.201 ; Rise       ; SW[16]                                                      ;
8728
;  SW[11]     ; SW[16]                                                      ; 1.489 ; 2.531 ; Rise       ; SW[16]                                                      ;
8729
;  SW[12]     ; SW[16]                                                      ; 0.506 ; 1.502 ; Rise       ; SW[16]                                                      ;
8730
;  SW[13]     ; SW[16]                                                      ; 0.952 ; 2.011 ; Rise       ; SW[16]                                                      ;
8731
;  SW[14]     ; SW[16]                                                      ; 0.943 ; 1.962 ; Rise       ; SW[16]                                                      ;
8732
;  SW[15]     ; SW[16]                                                      ; 1.022 ; 2.076 ; Rise       ; SW[16]                                                      ;
8733
; PS2_DAT     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.161 ; 2.114 ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ;
8734
; SW[*]       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.756 ; 2.671 ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ;
8735
;  SW[17]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1.756 ; 2.671 ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ;
8736
+-------------+-------------------------------------------------------------+-------+-------+------------+-------------------------------------------------------------+
8737
 
8738
 
8739
+------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
8740
; Hold Times                                                                                                                                                             ;
8741
+-------------+-------------------------------------------------------------+--------+--------+------------+-------------------------------------------------------------+
8742
; Data Port   ; Clock Port                                                  ; Rise   ; Fall   ; Clock Edge ; Clock Reference                                             ;
8743
+-------------+-------------------------------------------------------------+--------+--------+------------+-------------------------------------------------------------+
8744
; PS2_CLK     ; CLOCK_50                                                    ; -1.427 ; -2.367 ; Rise       ; CLOCK_50                                                    ;
8745
; SW[*]       ; CLOCK_50                                                    ; -1.376 ; -2.299 ; Rise       ; CLOCK_50                                                    ;
8746
;  SW[17]     ; CLOCK_50                                                    ; -1.376 ; -2.299 ; Rise       ; CLOCK_50                                                    ;
8747
; KEY[*]      ; SW[16]                                                      ; 0.059  ; -0.720 ; Rise       ; SW[16]                                                      ;
8748
;  KEY[0]     ; SW[16]                                                      ; -1.040 ; -1.861 ; Rise       ; SW[16]                                                      ;
8749
;  KEY[1]     ; SW[16]                                                      ; -0.753 ; -1.654 ; Rise       ; SW[16]                                                      ;
8750
;  KEY[2]     ; SW[16]                                                      ; -0.546 ; -1.375 ; Rise       ; SW[16]                                                      ;
8751
;  KEY[3]     ; SW[16]                                                      ; 0.059  ; -0.720 ; Rise       ; SW[16]                                                      ;
8752
; SRAM_DQ[*]  ; SW[16]                                                      ; -0.265 ; -1.201 ; Rise       ; SW[16]                                                      ;
8753
;  SRAM_DQ[0] ; SW[16]                                                      ; -0.954 ; -2.000 ; Rise       ; SW[16]                                                      ;
8754
;  SRAM_DQ[1] ; SW[16]                                                      ; -0.775 ; -1.821 ; Rise       ; SW[16]                                                      ;
8755
;  SRAM_DQ[2] ; SW[16]                                                      ; -0.392 ; -1.379 ; Rise       ; SW[16]                                                      ;
8756
;  SRAM_DQ[3] ; SW[16]                                                      ; -0.438 ; -1.502 ; Rise       ; SW[16]                                                      ;
8757
;  SRAM_DQ[4] ; SW[16]                                                      ; -0.290 ; -1.270 ; Rise       ; SW[16]                                                      ;
8758
;  SRAM_DQ[5] ; SW[16]                                                      ; -0.265 ; -1.201 ; Rise       ; SW[16]                                                      ;
8759
;  SRAM_DQ[6] ; SW[16]                                                      ; -0.553 ; -1.480 ; Rise       ; SW[16]                                                      ;
8760
;  SRAM_DQ[7] ; SW[16]                                                      ; -0.483 ; -1.414 ; Rise       ; SW[16]                                                      ;
8761
; SW[*]       ; SW[16]                                                      ; 0.042  ; -0.940 ; Rise       ; SW[16]                                                      ;
8762
;  SW[0]      ; SW[16]                                                      ; -1.020 ; -1.964 ; Rise       ; SW[16]                                                      ;
8763
;  SW[1]      ; SW[16]                                                      ; -0.373 ; -1.314 ; Rise       ; SW[16]                                                      ;
8764
;  SW[2]      ; SW[16]                                                      ; -0.631 ; -1.580 ; Rise       ; SW[16]                                                      ;
8765
;  SW[3]      ; SW[16]                                                      ; -0.221 ; -1.233 ; Rise       ; SW[16]                                                      ;
8766
;  SW[4]      ; SW[16]                                                      ; 0.042  ; -0.940 ; Rise       ; SW[16]                                                      ;
8767
;  SW[5]      ; SW[16]                                                      ; -0.075 ; -1.059 ; Rise       ; SW[16]                                                      ;
8768
;  SW[6]      ; SW[16]                                                      ; -0.412 ; -1.416 ; Rise       ; SW[16]                                                      ;
8769
;  SW[7]      ; SW[16]                                                      ; -0.386 ; -1.374 ; Rise       ; SW[16]                                                      ;
8770
;  SW[8]      ; SW[16]                                                      ; -0.931 ; -1.977 ; Rise       ; SW[16]                                                      ;
8771
;  SW[9]      ; SW[16]                                                      ; -0.749 ; -1.710 ; Rise       ; SW[16]                                                      ;
8772
;  SW[10]     ; SW[16]                                                      ; -0.490 ; -1.462 ; Rise       ; SW[16]                                                      ;
8773
;  SW[11]     ; SW[16]                                                      ; -0.692 ; -1.694 ; Rise       ; SW[16]                                                      ;
8774
;  SW[12]     ; SW[16]                                                      ; 0.014  ; -0.974 ; Rise       ; SW[16]                                                      ;
8775
;  SW[13]     ; SW[16]                                                      ; -0.380 ; -1.420 ; Rise       ; SW[16]                                                      ;
8776
;  SW[14]     ; SW[16]                                                      ; -0.363 ; -1.353 ; Rise       ; SW[16]                                                      ;
8777
;  SW[15]     ; SW[16]                                                      ; -0.459 ; -1.458 ; Rise       ; SW[16]                                                      ;
8778
; PS2_DAT     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; -0.208 ; -1.068 ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ;
8779
; SW[*]       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; -0.539 ; -1.466 ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ;
8780
;  SW[17]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; -0.539 ; -1.466 ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ;
8781
+-------------+-------------------------------------------------------------+--------+--------+------------+-------------------------------------------------------------+
8782
 
8783
 
8784
+-----------------------------------------------------------------------------------------------------------------+
8785
; Clock to Output Times                                                                                           ;
8786
+----------------+---------------------------------+-------+-------+------------+---------------------------------+
8787
; Data Port      ; Clock Port                      ; Rise  ; Fall  ; Clock Edge ; Clock Reference                 ;
8788
+----------------+---------------------------------+-------+-------+------------+---------------------------------+
8789
; LCD_DATA[*]    ; CLOCK_50                        ; 6.718 ; 6.738 ; Rise       ; CLOCK_50                        ;
8790
;  LCD_DATA[0]   ; CLOCK_50                        ; 6.075 ; 6.387 ; Rise       ; CLOCK_50                        ;
8791
;  LCD_DATA[1]   ; CLOCK_50                        ; 5.481 ; 5.753 ; Rise       ; CLOCK_50                        ;
8792
;  LCD_DATA[2]   ; CLOCK_50                        ; 6.323 ; 6.717 ; Rise       ; CLOCK_50                        ;
8793
;  LCD_DATA[3]   ; CLOCK_50                        ; 6.611 ; 6.272 ; Rise       ; CLOCK_50                        ;
8794
;  LCD_DATA[4]   ; CLOCK_50                        ; 6.159 ; 5.818 ; Rise       ; CLOCK_50                        ;
8795
;  LCD_DATA[5]   ; CLOCK_50                        ; 6.580 ; 6.248 ; Rise       ; CLOCK_50                        ;
8796
;  LCD_DATA[6]   ; CLOCK_50                        ; 5.057 ; 5.266 ; Rise       ; CLOCK_50                        ;
8797
;  LCD_DATA[7]   ; CLOCK_50                        ; 6.718 ; 6.738 ; Rise       ; CLOCK_50                        ;
8798
; LCD_EN         ; CLOCK_50                        ; 6.957 ; 6.571 ; Rise       ; CLOCK_50                        ;
8799
; LCD_ON         ; CLOCK_50                        ; 6.887 ; 6.986 ; Rise       ; CLOCK_50                        ;
8800
; LCD_RS         ; CLOCK_50                        ; 5.710 ; 6.004 ; Rise       ; CLOCK_50                        ;
8801
; HEX0[*]        ; SW[16]                          ; 8.978 ; 8.900 ; Rise       ; SW[16]                          ;
8802
;  HEX0[0]       ; SW[16]                          ; 7.692 ; 7.910 ; Rise       ; SW[16]                          ;
8803
;  HEX0[1]       ; SW[16]                          ; 8.577 ; 8.855 ; Rise       ; SW[16]                          ;
8804
;  HEX0[2]       ; SW[16]                          ; 7.793 ; 7.991 ; Rise       ; SW[16]                          ;
8805
;  HEX0[3]       ; SW[16]                          ; 7.424 ; 7.588 ; Rise       ; SW[16]                          ;
8806
;  HEX0[4]       ; SW[16]                          ; 7.346 ; 7.462 ; Rise       ; SW[16]                          ;
8807
;  HEX0[5]       ; SW[16]                          ; 8.978 ; 8.900 ; Rise       ; SW[16]                          ;
8808
;  HEX0[6]       ; SW[16]                          ; 7.647 ; 7.482 ; Rise       ; SW[16]                          ;
8809
; HEX1[*]        ; SW[16]                          ; 9.106 ; 9.006 ; Rise       ; SW[16]                          ;
8810
;  HEX1[0]       ; SW[16]                          ; 7.274 ; 7.359 ; Rise       ; SW[16]                          ;
8811
;  HEX1[1]       ; SW[16]                          ; 7.829 ; 7.925 ; Rise       ; SW[16]                          ;
8812
;  HEX1[2]       ; SW[16]                          ; 7.404 ; 7.582 ; Rise       ; SW[16]                          ;
8813
;  HEX1[3]       ; SW[16]                          ; 7.645 ; 7.740 ; Rise       ; SW[16]                          ;
8814
;  HEX1[4]       ; SW[16]                          ; 7.433 ; 7.542 ; Rise       ; SW[16]                          ;
8815
;  HEX1[5]       ; SW[16]                          ; 9.106 ; 9.006 ; Rise       ; SW[16]                          ;
8816
;  HEX1[6]       ; SW[16]                          ; 8.352 ; 8.466 ; Rise       ; SW[16]                          ;
8817
; HEX2[*]        ; SW[16]                          ; 7.793 ; 7.862 ; Rise       ; SW[16]                          ;
8818
;  HEX2[0]       ; SW[16]                          ; 7.536 ; 7.573 ; Rise       ; SW[16]                          ;
8819
;  HEX2[1]       ; SW[16]                          ; 7.056 ; 7.118 ; Rise       ; SW[16]                          ;
8820
;  HEX2[2]       ; SW[16]                          ; 7.793 ; 7.862 ; Rise       ; SW[16]                          ;
8821
;  HEX2[3]       ; SW[16]                          ; 7.759 ; 7.846 ; Rise       ; SW[16]                          ;
8822
;  HEX2[4]       ; SW[16]                          ; 7.759 ; 7.778 ; Rise       ; SW[16]                          ;
8823
;  HEX2[5]       ; SW[16]                          ; 7.236 ; 7.272 ; Rise       ; SW[16]                          ;
8824
;  HEX2[6]       ; SW[16]                          ; 7.253 ; 7.175 ; Rise       ; SW[16]                          ;
8825
; HEX3[*]        ; SW[16]                          ; 9.350 ; 9.196 ; Rise       ; SW[16]                          ;
8826
;  HEX3[0]       ; SW[16]                          ; 7.794 ; 7.870 ; Rise       ; SW[16]                          ;
8827
;  HEX3[1]       ; SW[16]                          ; 7.597 ; 7.766 ; Rise       ; SW[16]                          ;
8828
;  HEX3[2]       ; SW[16]                          ; 9.350 ; 9.196 ; Rise       ; SW[16]                          ;
8829
;  HEX3[3]       ; SW[16]                          ; 7.448 ; 7.567 ; Rise       ; SW[16]                          ;
8830
;  HEX3[4]       ; SW[16]                          ; 7.818 ; 8.040 ; Rise       ; SW[16]                          ;
8831
;  HEX3[5]       ; SW[16]                          ; 7.500 ; 7.697 ; Rise       ; SW[16]                          ;
8832
;  HEX3[6]       ; SW[16]                          ; 7.267 ; 7.146 ; Rise       ; SW[16]                          ;
8833
; HEX4[*]        ; SW[16]                          ; 7.517 ; 7.600 ; Rise       ; SW[16]                          ;
8834
;  HEX4[0]       ; SW[16]                          ; 7.517 ; 7.600 ; Rise       ; SW[16]                          ;
8835
;  HEX4[1]       ; SW[16]                          ; 7.426 ; 7.581 ; Rise       ; SW[16]                          ;
8836
;  HEX4[2]       ; SW[16]                          ; 6.868 ; 6.865 ; Rise       ; SW[16]                          ;
8837
;  HEX4[3]       ; SW[16]                          ; 6.851 ; 6.903 ; Rise       ; SW[16]                          ;
8838
;  HEX4[4]       ; SW[16]                          ; 6.937 ; 7.017 ; Rise       ; SW[16]                          ;
8839
;  HEX4[5]       ; SW[16]                          ; 6.853 ; 6.882 ; Rise       ; SW[16]                          ;
8840
;  HEX4[6]       ; SW[16]                          ; 6.687 ; 6.654 ; Rise       ; SW[16]                          ;
8841
; HEX5[*]        ; SW[16]                          ; 8.561 ; 8.425 ; Rise       ; SW[16]                          ;
8842
;  HEX5[0]       ; SW[16]                          ; 7.538 ; 7.645 ; Rise       ; SW[16]                          ;
8843
;  HEX5[1]       ; SW[16]                          ; 8.561 ; 8.425 ; Rise       ; SW[16]                          ;
8844
;  HEX5[2]       ; SW[16]                          ; 7.118 ; 7.187 ; Rise       ; SW[16]                          ;
8845
;  HEX5[3]       ; SW[16]                          ; 7.300 ; 7.350 ; Rise       ; SW[16]                          ;
8846
;  HEX5[4]       ; SW[16]                          ; 7.119 ; 7.205 ; Rise       ; SW[16]                          ;
8847
;  HEX5[5]       ; SW[16]                          ; 7.502 ; 7.582 ; Rise       ; SW[16]                          ;
8848
;  HEX5[6]       ; SW[16]                          ; 7.242 ; 7.146 ; Rise       ; SW[16]                          ;
8849
; HEX6[*]        ; SW[16]                          ; 7.863 ; 7.567 ; Rise       ; SW[16]                          ;
8850
;  HEX6[0]       ; SW[16]                          ; 6.868 ; 6.866 ; Rise       ; SW[16]                          ;
8851
;  HEX6[1]       ; SW[16]                          ; 6.323 ; 6.285 ; Rise       ; SW[16]                          ;
8852
;  HEX6[2]       ; SW[16]                          ; 6.317 ; 6.251 ; Rise       ; SW[16]                          ;
8853
;  HEX6[3]       ; SW[16]                          ; 7.008 ; 7.031 ; Rise       ; SW[16]                          ;
8854
;  HEX6[4]       ; SW[16]                          ; 6.340 ; 6.294 ; Rise       ; SW[16]                          ;
8855
;  HEX6[5]       ; SW[16]                          ; 7.863 ; 7.567 ; Rise       ; SW[16]                          ;
8856
;  HEX6[6]       ; SW[16]                          ; 6.490 ; 6.503 ; Rise       ; SW[16]                          ;
8857
; HEX7[*]        ; SW[16]                          ; 6.728 ; 6.702 ; Rise       ; SW[16]                          ;
8858
;  HEX7[0]       ; SW[16]                          ; 6.558 ; 6.522 ; Rise       ; SW[16]                          ;
8859
;  HEX7[1]       ; SW[16]                          ; 6.394 ; 6.369 ; Rise       ; SW[16]                          ;
8860
;  HEX7[2]       ; SW[16]                          ; 6.512 ; 6.474 ; Rise       ; SW[16]                          ;
8861
;  HEX7[3]       ; SW[16]                          ; 6.538 ; 6.494 ; Rise       ; SW[16]                          ;
8862
;  HEX7[4]       ; SW[16]                          ; 6.472 ; 6.449 ; Rise       ; SW[16]                          ;
8863
;  HEX7[5]       ; SW[16]                          ; 6.452 ; 6.435 ; Rise       ; SW[16]                          ;
8864
;  HEX7[6]       ; SW[16]                          ; 6.728 ; 6.702 ; Rise       ; SW[16]                          ;
8865
; LEDG[*]        ; SW[16]                          ; 8.985 ; 9.433 ; Rise       ; SW[16]                          ;
8866
;  LEDG[0]       ; SW[16]                          ; 6.933 ; 7.135 ; Rise       ; SW[16]                          ;
8867
;  LEDG[1]       ; SW[16]                          ; 8.985 ; 9.433 ; Rise       ; SW[16]                          ;
8868
;  LEDG[2]       ; SW[16]                          ; 7.854 ; 8.171 ; Rise       ; SW[16]                          ;
8869
;  LEDG[3]       ; SW[16]                          ; 7.182 ; 7.400 ; Rise       ; SW[16]                          ;
8870
;  LEDG[4]       ; SW[16]                          ; 7.548 ; 7.788 ; Rise       ; SW[16]                          ;
8871
;  LEDG[5]       ; SW[16]                          ; 6.929 ; 7.110 ; Rise       ; SW[16]                          ;
8872
;  LEDG[6]       ; SW[16]                          ; 7.495 ; 7.727 ; Rise       ; SW[16]                          ;
8873
;  LEDG[7]       ; SW[16]                          ; 7.694 ; 7.946 ; Rise       ; SW[16]                          ;
8874
; LEDR[*]        ; SW[16]                          ; 9.160 ; 9.688 ; Rise       ; SW[16]                          ;
8875
;  LEDR[0]       ; SW[16]                          ; 6.810 ; 6.987 ; Rise       ; SW[16]                          ;
8876
;  LEDR[1]       ; SW[16]                          ; 8.444 ; 8.784 ; Rise       ; SW[16]                          ;
8877
;  LEDR[2]       ; SW[16]                          ; 9.160 ; 9.688 ; Rise       ; SW[16]                          ;
8878
;  LEDR[3]       ; SW[16]                          ; 7.431 ; 7.722 ; Rise       ; SW[16]                          ;
8879
;  LEDR[4]       ; SW[16]                          ; 7.066 ; 7.283 ; Rise       ; SW[16]                          ;
8880
;  LEDR[5]       ; SW[16]                          ; 8.178 ; 8.484 ; Rise       ; SW[16]                          ;
8881
;  LEDR[6]       ; SW[16]                          ; 7.302 ; 7.564 ; Rise       ; SW[16]                          ;
8882
;  LEDR[7]       ; SW[16]                          ; 7.640 ; 7.882 ; Rise       ; SW[16]                          ;
8883
;  LEDR[8]       ; SW[16]                          ; 7.614 ; 7.865 ; Rise       ; SW[16]                          ;
8884
;  LEDR[9]       ; SW[16]                          ; 7.767 ; 8.018 ; Rise       ; SW[16]                          ;
8885
;  LEDR[10]      ; SW[16]                          ; 7.531 ; 7.784 ; Rise       ; SW[16]                          ;
8886
;  LEDR[11]      ; SW[16]                          ; 7.364 ; 7.596 ; Rise       ; SW[16]                          ;
8887
;  LEDR[12]      ; SW[16]                          ; 7.499 ; 7.747 ; Rise       ; SW[16]                          ;
8888
;  LEDR[13]      ; SW[16]                          ; 7.564 ; 7.818 ; Rise       ; SW[16]                          ;
8889
;  LEDR[14]      ; SW[16]                          ; 7.695 ; 7.973 ; Rise       ; SW[16]                          ;
8890
;  LEDR[15]      ; SW[16]                          ; 8.295 ; 8.591 ; Rise       ; SW[16]                          ;
8891
; SRAM_ADDR[*]   ; SW[16]                          ; 8.566 ; 8.561 ; Rise       ; SW[16]                          ;
8892
;  SRAM_ADDR[0]  ; SW[16]                          ; 5.610 ; 5.786 ; Rise       ; SW[16]                          ;
8893
;  SRAM_ADDR[1]  ; SW[16]                          ; 5.643 ; 5.829 ; Rise       ; SW[16]                          ;
8894
;  SRAM_ADDR[2]  ; SW[16]                          ; 6.386 ; 6.667 ; Rise       ; SW[16]                          ;
8895
;  SRAM_ADDR[3]  ; SW[16]                          ; 6.048 ; 6.267 ; Rise       ; SW[16]                          ;
8896
;  SRAM_ADDR[4]  ; SW[16]                          ; 6.340 ; 6.578 ; Rise       ; SW[16]                          ;
8897
;  SRAM_ADDR[5]  ; SW[16]                          ; 6.117 ; 6.344 ; Rise       ; SW[16]                          ;
8898
;  SRAM_ADDR[6]  ; SW[16]                          ; 5.734 ; 5.923 ; Rise       ; SW[16]                          ;
8899
;  SRAM_ADDR[7]  ; SW[16]                          ; 5.892 ; 6.120 ; Rise       ; SW[16]                          ;
8900
;  SRAM_ADDR[8]  ; SW[16]                          ; 6.118 ; 6.361 ; Rise       ; SW[16]                          ;
8901
;  SRAM_ADDR[9]  ; SW[16]                          ; 6.859 ; 6.780 ; Rise       ; SW[16]                          ;
8902
;  SRAM_ADDR[10] ; SW[16]                          ; 6.116 ; 6.313 ; Rise       ; SW[16]                          ;
8903
;  SRAM_ADDR[11] ; SW[16]                          ; 5.418 ; 5.560 ; Rise       ; SW[16]                          ;
8904
;  SRAM_ADDR[12] ; SW[16]                          ; 7.272 ; 7.275 ; Rise       ; SW[16]                          ;
8905
;  SRAM_ADDR[13] ; SW[16]                          ; 5.729 ; 5.898 ; Rise       ; SW[16]                          ;
8906
;  SRAM_ADDR[14] ; SW[16]                          ; 6.635 ; 6.376 ; Rise       ; SW[16]                          ;
8907
;  SRAM_ADDR[15] ; SW[16]                          ; 8.566 ; 8.561 ; Rise       ; SW[16]                          ;
8908
; SRAM_DQ[*]     ; SW[16]                          ; 8.318 ; 8.681 ; Rise       ; SW[16]                          ;
8909
;  SRAM_DQ[0]    ; SW[16]                          ; 7.146 ; 7.323 ; Rise       ; SW[16]                          ;
8910
;  SRAM_DQ[1]    ; SW[16]                          ; 7.420 ; 7.669 ; Rise       ; SW[16]                          ;
8911
;  SRAM_DQ[2]    ; SW[16]                          ; 8.318 ; 8.681 ; Rise       ; SW[16]                          ;
8912
;  SRAM_DQ[3]    ; SW[16]                          ; 7.695 ; 7.961 ; Rise       ; SW[16]                          ;
8913
;  SRAM_DQ[4]    ; SW[16]                          ; 7.965 ; 8.263 ; Rise       ; SW[16]                          ;
8914
;  SRAM_DQ[5]    ; SW[16]                          ; 7.466 ; 7.707 ; Rise       ; SW[16]                          ;
8915
;  SRAM_DQ[6]    ; SW[16]                          ; 8.039 ; 8.317 ; Rise       ; SW[16]                          ;
8916
;  SRAM_DQ[7]    ; SW[16]                          ; 7.019 ; 7.186 ; Rise       ; SW[16]                          ;
8917
; SRAM_OE_N      ; SW[16]                          ; 7.657 ; 7.757 ; Rise       ; SW[16]                          ;
8918
; SRAM_WE_N      ; SW[16]                          ; 6.904 ; 6.599 ; Rise       ; SW[16]                          ;
8919
; SRAM_OE_N      ; T80se:z80_inst|MREQ_n           ;       ; 4.189 ; Rise       ; T80se:z80_inst|MREQ_n           ;
8920
; SRAM_WE_N      ; T80se:z80_inst|MREQ_n           ;       ; 4.281 ; Rise       ; T80se:z80_inst|MREQ_n           ;
8921
; SRAM_OE_N      ; T80se:z80_inst|MREQ_n           ; 4.163 ;       ; Fall       ; T80se:z80_inst|MREQ_n           ;
8922
; SRAM_WE_N      ; T80se:z80_inst|MREQ_n           ; 4.635 ;       ; Fall       ; T80se:z80_inst|MREQ_n           ;
8923
; VGA_B[*]       ; clk_div:clkdiv_inst|clock_25MHz ; 5.865 ; 6.141 ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
8924
;  VGA_B[4]      ; clk_div:clkdiv_inst|clock_25MHz ; 5.561 ; 5.769 ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
8925
;  VGA_B[5]      ; clk_div:clkdiv_inst|clock_25MHz ; 5.268 ; 5.457 ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
8926
;  VGA_B[6]      ; clk_div:clkdiv_inst|clock_25MHz ; 5.865 ; 6.141 ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
8927
;  VGA_B[7]      ; clk_div:clkdiv_inst|clock_25MHz ; 5.428 ; 5.628 ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
8928
; VGA_CLK        ; clk_div:clkdiv_inst|clock_25MHz ; 2.227 ;       ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
8929
; VGA_HS         ; clk_div:clkdiv_inst|clock_25MHz ; 4.609 ; 4.748 ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
8930
; VGA_VS         ; clk_div:clkdiv_inst|clock_25MHz ; 4.351 ; 4.431 ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
8931
; VGA_CLK        ; clk_div:clkdiv_inst|clock_25MHz ;       ; 2.250 ; Fall       ; clk_div:clkdiv_inst|clock_25MHz ;
8932
+----------------+---------------------------------+-------+-------+------------+---------------------------------+
8933
 
8934
 
8935
+-----------------------------------------------------------------------------------------------------------------+
8936
; Minimum Clock to Output Times                                                                                   ;
8937
+----------------+---------------------------------+-------+-------+------------+---------------------------------+
8938
; Data Port      ; Clock Port                      ; Rise  ; Fall  ; Clock Edge ; Clock Reference                 ;
8939
+----------------+---------------------------------+-------+-------+------------+---------------------------------+
8940
; LCD_DATA[*]    ; CLOCK_50                        ; 4.885 ; 5.083 ; Rise       ; CLOCK_50                        ;
8941
;  LCD_DATA[0]   ; CLOCK_50                        ; 5.863 ; 6.160 ; Rise       ; CLOCK_50                        ;
8942
;  LCD_DATA[1]   ; CLOCK_50                        ; 5.292 ; 5.552 ; Rise       ; CLOCK_50                        ;
8943
;  LCD_DATA[2]   ; CLOCK_50                        ; 6.101 ; 6.477 ; Rise       ; CLOCK_50                        ;
8944
;  LCD_DATA[3]   ; CLOCK_50                        ; 6.373 ; 6.051 ; Rise       ; CLOCK_50                        ;
8945
;  LCD_DATA[4]   ; CLOCK_50                        ; 5.941 ; 5.616 ; Rise       ; CLOCK_50                        ;
8946
;  LCD_DATA[5]   ; CLOCK_50                        ; 6.345 ; 6.029 ; Rise       ; CLOCK_50                        ;
8947
;  LCD_DATA[6]   ; CLOCK_50                        ; 4.885 ; 5.083 ; Rise       ; CLOCK_50                        ;
8948
;  LCD_DATA[7]   ; CLOCK_50                        ; 6.536 ; 6.545 ; Rise       ; CLOCK_50                        ;
8949
; LCD_EN         ; CLOCK_50                        ; 6.706 ; 6.338 ; Rise       ; CLOCK_50                        ;
8950
; LCD_ON         ; CLOCK_50                        ; 6.698 ; 6.783 ; Rise       ; CLOCK_50                        ;
8951
; LCD_RS         ; CLOCK_50                        ; 5.512 ; 5.792 ; Rise       ; CLOCK_50                        ;
8952
; HEX0[*]        ; SW[16]                          ; 6.837 ; 6.957 ; Rise       ; SW[16]                          ;
8953
;  HEX0[0]       ; SW[16]                          ; 7.204 ; 7.400 ; Rise       ; SW[16]                          ;
8954
;  HEX0[1]       ; SW[16]                          ; 8.097 ; 8.347 ; Rise       ; SW[16]                          ;
8955
;  HEX0[2]       ; SW[16]                          ; 7.324 ; 7.486 ; Rise       ; SW[16]                          ;
8956
;  HEX0[3]       ; SW[16]                          ; 6.903 ; 7.058 ; Rise       ; SW[16]                          ;
8957
;  HEX0[4]       ; SW[16]                          ; 6.837 ; 6.957 ; Rise       ; SW[16]                          ;
8958
;  HEX0[5]       ; SW[16]                          ; 8.445 ; 8.393 ; Rise       ; SW[16]                          ;
8959
;  HEX0[6]       ; SW[16]                          ; 7.132 ; 6.974 ; Rise       ; SW[16]                          ;
8960
; HEX1[*]        ; SW[16]                          ; 6.775 ; 6.879 ; Rise       ; SW[16]                          ;
8961
;  HEX1[0]       ; SW[16]                          ; 6.775 ; 6.879 ; Rise       ; SW[16]                          ;
8962
;  HEX1[1]       ; SW[16]                          ; 7.326 ; 7.413 ; Rise       ; SW[16]                          ;
8963
;  HEX1[2]       ; SW[16]                          ; 6.952 ; 7.120 ; Rise       ; SW[16]                          ;
8964
;  HEX1[3]       ; SW[16]                          ; 7.114 ; 7.225 ; Rise       ; SW[16]                          ;
8965
;  HEX1[4]       ; SW[16]                          ; 6.923 ; 7.034 ; Rise       ; SW[16]                          ;
8966
;  HEX1[5]       ; SW[16]                          ; 8.569 ; 8.487 ; Rise       ; SW[16]                          ;
8967
;  HEX1[6]       ; SW[16]                          ; 7.860 ; 7.989 ; Rise       ; SW[16]                          ;
8968
; HEX2[*]        ; SW[16]                          ; 6.591 ; 6.645 ; Rise       ; SW[16]                          ;
8969
;  HEX2[0]       ; SW[16]                          ; 7.037 ; 7.092 ; Rise       ; SW[16]                          ;
8970
;  HEX2[1]       ; SW[16]                          ; 6.591 ; 6.645 ; Rise       ; SW[16]                          ;
8971
;  HEX2[2]       ; SW[16]                          ; 7.298 ; 7.427 ; Rise       ; SW[16]                          ;
8972
;  HEX2[3]       ; SW[16]                          ; 7.233 ; 7.320 ; Rise       ; SW[16]                          ;
8973
;  HEX2[4]       ; SW[16]                          ; 7.239 ; 7.325 ; Rise       ; SW[16]                          ;
8974
;  HEX2[5]       ; SW[16]                          ; 6.720 ; 6.783 ; Rise       ; SW[16]                          ;
8975
;  HEX2[6]       ; SW[16]                          ; 6.758 ; 6.684 ; Rise       ; SW[16]                          ;
8976
; HEX3[*]        ; SW[16]                          ; 6.759 ; 6.654 ; Rise       ; SW[16]                          ;
8977
;  HEX3[0]       ; SW[16]                          ; 7.281 ; 7.370 ; Rise       ; SW[16]                          ;
8978
;  HEX3[1]       ; SW[16]                          ; 7.102 ; 7.265 ; Rise       ; SW[16]                          ;
8979
;  HEX3[2]       ; SW[16]                          ; 8.842 ; 8.743 ; Rise       ; SW[16]                          ;
8980
;  HEX3[3]       ; SW[16]                          ; 6.918 ; 7.055 ; Rise       ; SW[16]                          ;
8981
;  HEX3[4]       ; SW[16]                          ; 7.108 ; 7.244 ; Rise       ; SW[16]                          ;
8982
;  HEX3[5]       ; SW[16]                          ; 6.795 ; 6.917 ; Rise       ; SW[16]                          ;
8983
;  HEX3[6]       ; SW[16]                          ; 6.759 ; 6.654 ; Rise       ; SW[16]                          ;
8984
; HEX4[*]        ; SW[16]                          ; 6.203 ; 6.183 ; Rise       ; SW[16]                          ;
8985
;  HEX4[0]       ; SW[16]                          ; 7.011 ; 7.102 ; Rise       ; SW[16]                          ;
8986
;  HEX4[1]       ; SW[16]                          ; 6.998 ; 7.075 ; Rise       ; SW[16]                          ;
8987
;  HEX4[2]       ; SW[16]                          ; 6.411 ; 6.438 ; Rise       ; SW[16]                          ;
8988
;  HEX4[3]       ; SW[16]                          ; 6.323 ; 6.336 ; Rise       ; SW[16]                          ;
8989
;  HEX4[4]       ; SW[16]                          ; 6.470 ; 6.527 ; Rise       ; SW[16]                          ;
8990
;  HEX4[5]       ; SW[16]                          ; 6.379 ; 6.401 ; Rise       ; SW[16]                          ;
8991
;  HEX4[6]       ; SW[16]                          ; 6.203 ; 6.183 ; Rise       ; SW[16]                          ;
8992
; HEX5[*]        ; SW[16]                          ; 6.620 ; 6.648 ; Rise       ; SW[16]                          ;
8993
;  HEX5[0]       ; SW[16]                          ; 7.028 ; 7.148 ; Rise       ; SW[16]                          ;
8994
;  HEX5[1]       ; SW[16]                          ; 8.088 ; 7.938 ; Rise       ; SW[16]                          ;
8995
;  HEX5[2]       ; SW[16]                          ; 6.639 ; 6.760 ; Rise       ; SW[16]                          ;
8996
;  HEX5[3]       ; SW[16]                          ; 6.730 ; 6.798 ; Rise       ; SW[16]                          ;
8997
;  HEX5[4]       ; SW[16]                          ; 6.620 ; 6.706 ; Rise       ; SW[16]                          ;
8998
;  HEX5[5]       ; SW[16]                          ; 6.971 ; 7.068 ; Rise       ; SW[16]                          ;
8999
;  HEX5[6]       ; SW[16]                          ; 6.729 ; 6.648 ; Rise       ; SW[16]                          ;
9000
; HEX6[*]        ; SW[16]                          ; 5.863 ; 5.832 ; Rise       ; SW[16]                          ;
9001
;  HEX6[0]       ; SW[16]                          ; 6.383 ; 6.403 ; Rise       ; SW[16]                          ;
9002
;  HEX6[1]       ; SW[16]                          ; 5.879 ; 5.841 ; Rise       ; SW[16]                          ;
9003
;  HEX6[2]       ; SW[16]                          ; 5.865 ; 5.867 ; Rise       ; SW[16]                          ;
9004
;  HEX6[3]       ; SW[16]                          ; 6.508 ; 6.528 ; Rise       ; SW[16]                          ;
9005
;  HEX6[4]       ; SW[16]                          ; 5.863 ; 5.832 ; Rise       ; SW[16]                          ;
9006
;  HEX6[5]       ; SW[16]                          ; 7.375 ; 7.102 ; Rise       ; SW[16]                          ;
9007
;  HEX6[6]       ; SW[16]                          ; 6.012 ; 6.035 ; Rise       ; SW[16]                          ;
9008
; HEX7[*]        ; SW[16]                          ; 5.966 ; 5.968 ; Rise       ; SW[16]                          ;
9009
;  HEX7[0]       ; SW[16]                          ; 6.095 ; 6.072 ; Rise       ; SW[16]                          ;
9010
;  HEX7[1]       ; SW[16]                          ; 5.966 ; 5.971 ; Rise       ; SW[16]                          ;
9011
;  HEX7[2]       ; SW[16]                          ; 6.076 ; 6.060 ; Rise       ; SW[16]                          ;
9012
;  HEX7[3]       ; SW[16]                          ; 6.046 ; 6.029 ; Rise       ; SW[16]                          ;
9013
;  HEX7[4]       ; SW[16]                          ; 6.000 ; 5.977 ; Rise       ; SW[16]                          ;
9014
;  HEX7[5]       ; SW[16]                          ; 5.994 ; 5.968 ; Rise       ; SW[16]                          ;
9015
;  HEX7[6]       ; SW[16]                          ; 6.239 ; 6.227 ; Rise       ; SW[16]                          ;
9016
; LEDG[*]        ; SW[16]                          ; 6.664 ; 6.838 ; Rise       ; SW[16]                          ;
9017
;  LEDG[0]       ; SW[16]                          ; 6.666 ; 6.860 ; Rise       ; SW[16]                          ;
9018
;  LEDG[1]       ; SW[16]                          ; 8.635 ; 9.066 ; Rise       ; SW[16]                          ;
9019
;  LEDG[2]       ; SW[16]                          ; 7.552 ; 7.857 ; Rise       ; SW[16]                          ;
9020
;  LEDG[3]       ; SW[16]                          ; 6.908 ; 7.117 ; Rise       ; SW[16]                          ;
9021
;  LEDG[4]       ; SW[16]                          ; 7.258 ; 7.488 ; Rise       ; SW[16]                          ;
9022
;  LEDG[5]       ; SW[16]                          ; 6.664 ; 6.838 ; Rise       ; SW[16]                          ;
9023
;  LEDG[6]       ; SW[16]                          ; 7.206 ; 7.429 ; Rise       ; SW[16]                          ;
9024
;  LEDG[7]       ; SW[16]                          ; 7.398 ; 7.641 ; Rise       ; SW[16]                          ;
9025
; LEDR[*]        ; SW[16]                          ; 6.549 ; 6.720 ; Rise       ; SW[16]                          ;
9026
;  LEDR[0]       ; SW[16]                          ; 6.549 ; 6.720 ; Rise       ; SW[16]                          ;
9027
;  LEDR[1]       ; SW[16]                          ; 8.116 ; 8.443 ; Rise       ; SW[16]                          ;
9028
;  LEDR[2]       ; SW[16]                          ; 8.804 ; 9.310 ; Rise       ; SW[16]                          ;
9029
;  LEDR[3]       ; SW[16]                          ; 7.144 ; 7.423 ; Rise       ; SW[16]                          ;
9030
;  LEDR[4]       ; SW[16]                          ; 6.793 ; 7.001 ; Rise       ; SW[16]                          ;
9031
;  LEDR[5]       ; SW[16]                          ; 7.861 ; 8.155 ; Rise       ; SW[16]                          ;
9032
;  LEDR[6]       ; SW[16]                          ; 7.020 ; 7.272 ; Rise       ; SW[16]                          ;
9033
;  LEDR[7]       ; SW[16]                          ; 7.345 ; 7.578 ; Rise       ; SW[16]                          ;
9034
;  LEDR[8]       ; SW[16]                          ; 7.319 ; 7.560 ; Rise       ; SW[16]                          ;
9035
;  LEDR[9]       ; SW[16]                          ; 7.503 ; 7.746 ; Rise       ; SW[16]                          ;
9036
;  LEDR[10]      ; SW[16]                          ; 7.239 ; 7.483 ; Rise       ; SW[16]                          ;
9037
;  LEDR[11]      ; SW[16]                          ; 7.079 ; 7.302 ; Rise       ; SW[16]                          ;
9038
;  LEDR[12]      ; SW[16]                          ; 7.210 ; 7.448 ; Rise       ; SW[16]                          ;
9039
;  LEDR[13]      ; SW[16]                          ; 7.271 ; 7.516 ; Rise       ; SW[16]                          ;
9040
;  LEDR[14]      ; SW[16]                          ; 7.398 ; 7.664 ; Rise       ; SW[16]                          ;
9041
;  LEDR[15]      ; SW[16]                          ; 8.010 ; 8.296 ; Rise       ; SW[16]                          ;
9042
; SRAM_ADDR[*]   ; SW[16]                          ; 5.219 ; 5.354 ; Rise       ; SW[16]                          ;
9043
;  SRAM_ADDR[0]  ; SW[16]                          ; 5.398 ; 5.564 ; Rise       ; SW[16]                          ;
9044
;  SRAM_ADDR[1]  ; SW[16]                          ; 5.430 ; 5.606 ; Rise       ; SW[16]                          ;
9045
;  SRAM_ADDR[2]  ; SW[16]                          ; 6.144 ; 6.412 ; Rise       ; SW[16]                          ;
9046
;  SRAM_ADDR[3]  ; SW[16]                          ; 5.818 ; 6.027 ; Rise       ; SW[16]                          ;
9047
;  SRAM_ADDR[4]  ; SW[16]                          ; 6.102 ; 6.329 ; Rise       ; SW[16]                          ;
9048
;  SRAM_ADDR[5]  ; SW[16]                          ; 5.885 ; 6.101 ; Rise       ; SW[16]                          ;
9049
;  SRAM_ADDR[6]  ; SW[16]                          ; 5.521 ; 5.700 ; Rise       ; SW[16]                          ;
9050
;  SRAM_ADDR[7]  ; SW[16]                          ; 5.673 ; 5.889 ; Rise       ; SW[16]                          ;
9051
;  SRAM_ADDR[8]  ; SW[16]                          ; 5.887 ; 6.117 ; Rise       ; SW[16]                          ;
9052
;  SRAM_ADDR[9]  ; SW[16]                          ; 6.657 ; 6.571 ; Rise       ; SW[16]                          ;
9053
;  SRAM_ADDR[10] ; SW[16]                          ; 5.890 ; 6.077 ; Rise       ; SW[16]                          ;
9054
;  SRAM_ADDR[11] ; SW[16]                          ; 5.219 ; 5.354 ; Rise       ; SW[16]                          ;
9055
;  SRAM_ADDR[12] ; SW[16]                          ; 7.056 ; 7.048 ; Rise       ; SW[16]                          ;
9056
;  SRAM_ADDR[13] ; SW[16]                          ; 5.518 ; 5.678 ; Rise       ; SW[16]                          ;
9057
;  SRAM_ADDR[14] ; SW[16]                          ; 6.385 ; 6.138 ; Rise       ; SW[16]                          ;
9058
;  SRAM_ADDR[15] ; SW[16]                          ; 8.157 ; 8.169 ; Rise       ; SW[16]                          ;
9059
; SRAM_DQ[*]     ; SW[16]                          ; 6.752 ; 6.910 ; Rise       ; SW[16]                          ;
9060
;  SRAM_DQ[0]    ; SW[16]                          ; 6.875 ; 7.043 ; Rise       ; SW[16]                          ;
9061
;  SRAM_DQ[1]    ; SW[16]                          ; 7.137 ; 7.374 ; Rise       ; SW[16]                          ;
9062
;  SRAM_DQ[2]    ; SW[16]                          ; 8.000 ; 8.345 ; Rise       ; SW[16]                          ;
9063
;  SRAM_DQ[3]    ; SW[16]                          ; 7.402 ; 7.655 ; Rise       ; SW[16]                          ;
9064
;  SRAM_DQ[4]    ; SW[16]                          ; 7.660 ; 7.945 ; Rise       ; SW[16]                          ;
9065
;  SRAM_DQ[5]    ; SW[16]                          ; 7.182 ; 7.411 ; Rise       ; SW[16]                          ;
9066
;  SRAM_DQ[6]    ; SW[16]                          ; 7.731 ; 7.996 ; Rise       ; SW[16]                          ;
9067
;  SRAM_DQ[7]    ; SW[16]                          ; 6.752 ; 6.910 ; Rise       ; SW[16]                          ;
9068
; SRAM_OE_N      ; SW[16]                          ; 6.074 ; 6.146 ; Rise       ; SW[16]                          ;
9069
; SRAM_WE_N      ; SW[16]                          ; 5.993 ; 5.756 ; Rise       ; SW[16]                          ;
9070
; SRAM_OE_N      ; T80se:z80_inst|MREQ_n           ;       ; 4.039 ; Rise       ; T80se:z80_inst|MREQ_n           ;
9071
; SRAM_WE_N      ; T80se:z80_inst|MREQ_n           ;       ; 4.132 ; Rise       ; T80se:z80_inst|MREQ_n           ;
9072
; SRAM_OE_N      ; T80se:z80_inst|MREQ_n           ; 4.017 ;       ; Fall       ; T80se:z80_inst|MREQ_n           ;
9073
; SRAM_WE_N      ; T80se:z80_inst|MREQ_n           ; 4.469 ;       ; Fall       ; T80se:z80_inst|MREQ_n           ;
9074
; VGA_B[*]       ; clk_div:clkdiv_inst|clock_25MHz ; 5.073 ; 5.252 ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
9075
;  VGA_B[4]      ; clk_div:clkdiv_inst|clock_25MHz ; 5.354 ; 5.552 ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
9076
;  VGA_B[5]      ; clk_div:clkdiv_inst|clock_25MHz ; 5.073 ; 5.252 ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
9077
;  VGA_B[6]      ; clk_div:clkdiv_inst|clock_25MHz ; 5.645 ; 5.908 ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
9078
;  VGA_B[7]      ; clk_div:clkdiv_inst|clock_25MHz ; 5.227 ; 5.416 ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
9079
; VGA_CLK        ; clk_div:clkdiv_inst|clock_25MHz ; 2.160 ;       ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
9080
; VGA_HS         ; clk_div:clkdiv_inst|clock_25MHz ; 4.439 ; 4.570 ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
9081
; VGA_VS         ; clk_div:clkdiv_inst|clock_25MHz ; 4.191 ; 4.267 ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
9082
; VGA_CLK        ; clk_div:clkdiv_inst|clock_25MHz ;       ; 2.180 ; Fall       ; clk_div:clkdiv_inst|clock_25MHz ;
9083
+----------------+---------------------------------+-------+-------+------------+---------------------------------+
9084
 
9085
 
9086
+------------------------------------------------------------------------------------------+
9087
; Output Enable Times                                                                      ;
9088
+-------------+-----------------------+-------+-------+------------+-----------------------+
9089
; Data Port   ; Clock Port            ; Rise  ; Fall  ; Clock Edge ; Clock Reference       ;
9090
+-------------+-----------------------+-------+-------+------------+-----------------------+
9091
; SRAM_DQ[*]  ; SW[16]                ; 6.504 ; 6.430 ; Rise       ; SW[16]                ;
9092
;  SRAM_DQ[0] ; SW[16]                ; 6.758 ; 6.684 ; Rise       ; SW[16]                ;
9093
;  SRAM_DQ[1] ; SW[16]                ; 6.509 ; 6.435 ; Rise       ; SW[16]                ;
9094
;  SRAM_DQ[2] ; SW[16]                ; 6.504 ; 6.430 ; Rise       ; SW[16]                ;
9095
;  SRAM_DQ[3] ; SW[16]                ; 6.504 ; 6.430 ; Rise       ; SW[16]                ;
9096
;  SRAM_DQ[4] ; SW[16]                ; 6.834 ; 6.760 ; Rise       ; SW[16]                ;
9097
;  SRAM_DQ[5] ; SW[16]                ; 6.693 ; 6.619 ; Rise       ; SW[16]                ;
9098
;  SRAM_DQ[6] ; SW[16]                ; 6.693 ; 6.619 ; Rise       ; SW[16]                ;
9099
;  SRAM_DQ[7] ; SW[16]                ; 6.541 ; 6.467 ; Rise       ; SW[16]                ;
9100
; SRAM_DQ[*]  ; T80se:z80_inst|MREQ_n ; 4.186 ; 4.112 ; Rise       ; T80se:z80_inst|MREQ_n ;
9101
;  SRAM_DQ[0] ; T80se:z80_inst|MREQ_n ; 4.440 ; 4.366 ; Rise       ; T80se:z80_inst|MREQ_n ;
9102
;  SRAM_DQ[1] ; T80se:z80_inst|MREQ_n ; 4.191 ; 4.117 ; Rise       ; T80se:z80_inst|MREQ_n ;
9103
;  SRAM_DQ[2] ; T80se:z80_inst|MREQ_n ; 4.186 ; 4.112 ; Rise       ; T80se:z80_inst|MREQ_n ;
9104
;  SRAM_DQ[3] ; T80se:z80_inst|MREQ_n ; 4.186 ; 4.112 ; Rise       ; T80se:z80_inst|MREQ_n ;
9105
;  SRAM_DQ[4] ; T80se:z80_inst|MREQ_n ; 4.516 ; 4.442 ; Rise       ; T80se:z80_inst|MREQ_n ;
9106
;  SRAM_DQ[5] ; T80se:z80_inst|MREQ_n ; 4.375 ; 4.301 ; Rise       ; T80se:z80_inst|MREQ_n ;
9107
;  SRAM_DQ[6] ; T80se:z80_inst|MREQ_n ; 4.375 ; 4.301 ; Rise       ; T80se:z80_inst|MREQ_n ;
9108
;  SRAM_DQ[7] ; T80se:z80_inst|MREQ_n ; 4.223 ; 4.149 ; Rise       ; T80se:z80_inst|MREQ_n ;
9109
+-------------+-----------------------+-------+-------+------------+-----------------------+
9110
 
9111
 
9112
+------------------------------------------------------------------------------------------+
9113
; Minimum Output Enable Times                                                              ;
9114
+-------------+-----------------------+-------+-------+------------+-----------------------+
9115
; Data Port   ; Clock Port            ; Rise  ; Fall  ; Clock Edge ; Clock Reference       ;
9116
+-------------+-----------------------+-------+-------+------------+-----------------------+
9117
; SRAM_DQ[*]  ; SW[16]                ; 5.663 ; 5.589 ; Rise       ; SW[16]                ;
9118
;  SRAM_DQ[0] ; SW[16]                ; 5.908 ; 5.834 ; Rise       ; SW[16]                ;
9119
;  SRAM_DQ[1] ; SW[16]                ; 5.668 ; 5.594 ; Rise       ; SW[16]                ;
9120
;  SRAM_DQ[2] ; SW[16]                ; 5.663 ; 5.589 ; Rise       ; SW[16]                ;
9121
;  SRAM_DQ[3] ; SW[16]                ; 5.663 ; 5.589 ; Rise       ; SW[16]                ;
9122
;  SRAM_DQ[4] ; SW[16]                ; 5.981 ; 5.907 ; Rise       ; SW[16]                ;
9123
;  SRAM_DQ[5] ; SW[16]                ; 5.845 ; 5.771 ; Rise       ; SW[16]                ;
9124
;  SRAM_DQ[6] ; SW[16]                ; 5.845 ; 5.771 ; Rise       ; SW[16]                ;
9125
;  SRAM_DQ[7] ; SW[16]                ; 5.699 ; 5.625 ; Rise       ; SW[16]                ;
9126
; SRAM_DQ[*]  ; T80se:z80_inst|MREQ_n ; 4.039 ; 3.965 ; Rise       ; T80se:z80_inst|MREQ_n ;
9127
;  SRAM_DQ[0] ; T80se:z80_inst|MREQ_n ; 4.284 ; 4.210 ; Rise       ; T80se:z80_inst|MREQ_n ;
9128
;  SRAM_DQ[1] ; T80se:z80_inst|MREQ_n ; 4.044 ; 3.970 ; Rise       ; T80se:z80_inst|MREQ_n ;
9129
;  SRAM_DQ[2] ; T80se:z80_inst|MREQ_n ; 4.039 ; 3.965 ; Rise       ; T80se:z80_inst|MREQ_n ;
9130
;  SRAM_DQ[3] ; T80se:z80_inst|MREQ_n ; 4.039 ; 3.965 ; Rise       ; T80se:z80_inst|MREQ_n ;
9131
;  SRAM_DQ[4] ; T80se:z80_inst|MREQ_n ; 4.357 ; 4.283 ; Rise       ; T80se:z80_inst|MREQ_n ;
9132
;  SRAM_DQ[5] ; T80se:z80_inst|MREQ_n ; 4.221 ; 4.147 ; Rise       ; T80se:z80_inst|MREQ_n ;
9133
;  SRAM_DQ[6] ; T80se:z80_inst|MREQ_n ; 4.221 ; 4.147 ; Rise       ; T80se:z80_inst|MREQ_n ;
9134
;  SRAM_DQ[7] ; T80se:z80_inst|MREQ_n ; 4.075 ; 4.001 ; Rise       ; T80se:z80_inst|MREQ_n ;
9135
+-------------+-----------------------+-------+-------+------------+-----------------------+
9136
 
9137
 
9138
+--------------------------------------------------------------------------------------------------+
9139
; Output Disable Times                                                                             ;
9140
+-------------+-----------------------+-----------+-----------+------------+-----------------------+
9141
; Data Port   ; Clock Port            ; 0 to Hi-Z ; 1 to Hi-Z ; Clock Edge ; Clock Reference       ;
9142
+-------------+-----------------------+-----------+-----------+------------+-----------------------+
9143
; SRAM_DQ[*]  ; SW[16]                ; 6.776     ; 6.850     ; Rise       ; SW[16]                ;
9144
;  SRAM_DQ[0] ; SW[16]                ; 7.051     ; 7.125     ; Rise       ; SW[16]                ;
9145
;  SRAM_DQ[1] ; SW[16]                ; 6.782     ; 6.856     ; Rise       ; SW[16]                ;
9146
;  SRAM_DQ[2] ; SW[16]                ; 6.776     ; 6.850     ; Rise       ; SW[16]                ;
9147
;  SRAM_DQ[3] ; SW[16]                ; 6.776     ; 6.850     ; Rise       ; SW[16]                ;
9148
;  SRAM_DQ[4] ; SW[16]                ; 7.132     ; 7.206     ; Rise       ; SW[16]                ;
9149
;  SRAM_DQ[5] ; SW[16]                ; 6.979     ; 7.053     ; Rise       ; SW[16]                ;
9150
;  SRAM_DQ[6] ; SW[16]                ; 6.979     ; 7.053     ; Rise       ; SW[16]                ;
9151
;  SRAM_DQ[7] ; SW[16]                ; 6.799     ; 6.873     ; Rise       ; SW[16]                ;
9152
; SRAM_DQ[*]  ; T80se:z80_inst|MREQ_n ; 4.507     ; 4.581     ; Fall       ; T80se:z80_inst|MREQ_n ;
9153
;  SRAM_DQ[0] ; T80se:z80_inst|MREQ_n ; 4.782     ; 4.856     ; Fall       ; T80se:z80_inst|MREQ_n ;
9154
;  SRAM_DQ[1] ; T80se:z80_inst|MREQ_n ; 4.513     ; 4.587     ; Fall       ; T80se:z80_inst|MREQ_n ;
9155
;  SRAM_DQ[2] ; T80se:z80_inst|MREQ_n ; 4.507     ; 4.581     ; Fall       ; T80se:z80_inst|MREQ_n ;
9156
;  SRAM_DQ[3] ; T80se:z80_inst|MREQ_n ; 4.507     ; 4.581     ; Fall       ; T80se:z80_inst|MREQ_n ;
9157
;  SRAM_DQ[4] ; T80se:z80_inst|MREQ_n ; 4.863     ; 4.937     ; Fall       ; T80se:z80_inst|MREQ_n ;
9158
;  SRAM_DQ[5] ; T80se:z80_inst|MREQ_n ; 4.710     ; 4.784     ; Fall       ; T80se:z80_inst|MREQ_n ;
9159
;  SRAM_DQ[6] ; T80se:z80_inst|MREQ_n ; 4.710     ; 4.784     ; Fall       ; T80se:z80_inst|MREQ_n ;
9160
;  SRAM_DQ[7] ; T80se:z80_inst|MREQ_n ; 4.530     ; 4.604     ; Fall       ; T80se:z80_inst|MREQ_n ;
9161
+-------------+-----------------------+-----------+-----------+------------+-----------------------+
9162
 
9163
 
9164
+--------------------------------------------------------------------------------------------------+
9165
; Minimum Output Disable Times                                                                     ;
9166
+-------------+-----------------------+-----------+-----------+------------+-----------------------+
9167
; Data Port   ; Clock Port            ; 0 to Hi-Z ; 1 to Hi-Z ; Clock Edge ; Clock Reference       ;
9168
+-------------+-----------------------+-----------+-----------+------------+-----------------------+
9169
; SRAM_DQ[*]  ; SW[16]                ; 5.868     ; 5.942     ; Rise       ; SW[16]                ;
9170
;  SRAM_DQ[0] ; SW[16]                ; 6.133     ; 6.207     ; Rise       ; SW[16]                ;
9171
;  SRAM_DQ[1] ; SW[16]                ; 5.874     ; 5.948     ; Rise       ; SW[16]                ;
9172
;  SRAM_DQ[2] ; SW[16]                ; 5.868     ; 5.942     ; Rise       ; SW[16]                ;
9173
;  SRAM_DQ[3] ; SW[16]                ; 5.868     ; 5.942     ; Rise       ; SW[16]                ;
9174
;  SRAM_DQ[4] ; SW[16]                ; 6.210     ; 6.284     ; Rise       ; SW[16]                ;
9175
;  SRAM_DQ[5] ; SW[16]                ; 6.063     ; 6.137     ; Rise       ; SW[16]                ;
9176
;  SRAM_DQ[6] ; SW[16]                ; 6.063     ; 6.137     ; Rise       ; SW[16]                ;
9177
;  SRAM_DQ[7] ; SW[16]                ; 5.890     ; 5.964     ; Rise       ; SW[16]                ;
9178
; SRAM_DQ[*]  ; T80se:z80_inst|MREQ_n ; 4.344     ; 4.418     ; Fall       ; T80se:z80_inst|MREQ_n ;
9179
;  SRAM_DQ[0] ; T80se:z80_inst|MREQ_n ; 4.609     ; 4.683     ; Fall       ; T80se:z80_inst|MREQ_n ;
9180
;  SRAM_DQ[1] ; T80se:z80_inst|MREQ_n ; 4.350     ; 4.424     ; Fall       ; T80se:z80_inst|MREQ_n ;
9181
;  SRAM_DQ[2] ; T80se:z80_inst|MREQ_n ; 4.344     ; 4.418     ; Fall       ; T80se:z80_inst|MREQ_n ;
9182
;  SRAM_DQ[3] ; T80se:z80_inst|MREQ_n ; 4.344     ; 4.418     ; Fall       ; T80se:z80_inst|MREQ_n ;
9183
;  SRAM_DQ[4] ; T80se:z80_inst|MREQ_n ; 4.686     ; 4.760     ; Fall       ; T80se:z80_inst|MREQ_n ;
9184
;  SRAM_DQ[5] ; T80se:z80_inst|MREQ_n ; 4.539     ; 4.613     ; Fall       ; T80se:z80_inst|MREQ_n ;
9185
;  SRAM_DQ[6] ; T80se:z80_inst|MREQ_n ; 4.539     ; 4.613     ; Fall       ; T80se:z80_inst|MREQ_n ;
9186
;  SRAM_DQ[7] ; T80se:z80_inst|MREQ_n ; 4.366     ; 4.440     ; Fall       ; T80se:z80_inst|MREQ_n ;
9187
+-------------+-----------------------+-----------+-----------+------------+-----------------------+
9188
 
9189
 
9190
---------------------------------------------
9191
; Fast 1200mV 0C Model Metastability Report ;
9192
---------------------------------------------
9193
No synchronizer chains to report.
9194
 
9195
 
9196
+--------------------------------------------------------------------------------------------------------------------------------+
9197
; Multicorner Timing Analysis Summary                                                                                            ;
9198
+--------------------------------------------------------------+-----------+----------+----------+---------+---------------------+
9199
; Clock                                                        ; Setup     ; Hold     ; Recovery ; Removal ; Minimum Pulse Width ;
9200
+--------------------------------------------------------------+-----------+----------+----------+---------+---------------------+
9201
; Worst-case Slack                                             ; -12.540   ; -2.980   ; -2.630   ; 1.565   ; -3.000              ;
9202
;  CLOCK_50                                                    ; -7.823    ; -0.335   ; N/A      ; N/A     ; -3.000              ;
9203
;  LCD:lcd_inst|clk_400hz_enable                               ; -11.704   ; 1.167    ; N/A      ; N/A     ; -1.285              ;
9204
;  SW[16]                                                      ; -12.540   ; -2.980   ; N/A      ; N/A     ; -3.000              ;
9205
;  T80se:z80_inst|MREQ_n                                       ; -2.601    ; -0.248   ; N/A      ; N/A     ; -0.120              ;
9206
;  clk_div:clkdiv_inst|clock_100Hz                             ; -5.245    ; 0.210    ; N/A      ; N/A     ; -1.285              ;
9207
;  clk_div:clkdiv_inst|clock_100Khz_int                        ; -0.530    ; -0.008   ; N/A      ; N/A     ; -1.285              ;
9208
;  clk_div:clkdiv_inst|clock_10Khz_int                         ; -0.521    ; 0.010    ; N/A      ; N/A     ; -1.285              ;
9209
;  clk_div:clkdiv_inst|clock_1Khz_int                          ; -0.190    ; 0.201    ; N/A      ; N/A     ; -1.285              ;
9210
;  clk_div:clkdiv_inst|clock_1Mhz_int                          ; -0.651    ; 0.061    ; N/A      ; N/A     ; -1.285              ;
9211
;  clk_div:clkdiv_inst|clock_25MHz                             ; -5.695    ; 0.140    ; N/A      ; N/A     ; -2.693              ;
9212
;  clk_div:clkdiv_inst|clock_25Mhz_int                         ; -0.895    ; 0.214    ; N/A      ; N/A     ; -1.285              ;
9213
;  ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; -2.262    ; -0.439   ; N/A      ; N/A     ; -1.285              ;
9214
;  ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set             ; N/A       ; N/A      ; -2.630   ; 1.565   ; -1.285              ;
9215
; Design-wide TNS                                              ; -4763.88  ; -136.6   ; -2.63    ; 0.0     ; -1164.564           ;
9216
;  CLOCK_50                                                    ; -185.058  ; -2.123   ; N/A      ; N/A     ; -141.780            ;
9217
;  LCD:lcd_inst|clk_400hz_enable                               ; -88.730   ; 0.000    ; N/A      ; N/A     ; -10.280             ;
9218
;  SW[16]                                                      ; -3745.302 ; -135.492 ; N/A      ; N/A     ; -864.856            ;
9219
;  T80se:z80_inst|MREQ_n                                       ; -454.970  ; -1.794   ; N/A      ; N/A     ; -9.204              ;
9220
;  clk_div:clkdiv_inst|clock_100Hz                             ; -10.490   ; 0.000    ; N/A      ; N/A     ; -2.570              ;
9221
;  clk_div:clkdiv_inst|clock_100Khz_int                        ; -0.826    ; -0.008   ; N/A      ; N/A     ; -5.140              ;
9222
;  clk_div:clkdiv_inst|clock_10Khz_int                         ; -0.804    ; 0.000    ; N/A      ; N/A     ; -5.140              ;
9223
;  clk_div:clkdiv_inst|clock_1Khz_int                          ; -0.495    ; 0.000    ; N/A      ; N/A     ; -5.140              ;
9224
;  clk_div:clkdiv_inst|clock_1Mhz_int                          ; -1.066    ; 0.000    ; N/A      ; N/A     ; -5.140              ;
9225
;  clk_div:clkdiv_inst|clock_25MHz                             ; -252.613  ; 0.000    ; N/A      ; N/A     ; -178.641            ;
9226
;  clk_div:clkdiv_inst|clock_25Mhz_int                         ; -4.526    ; 0.000    ; N/A      ; N/A     ; -7.710              ;
9227
;  ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; -38.292   ; -0.439   ; N/A      ; N/A     ; -29.555             ;
9228
;  ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set             ; N/A       ; N/A      ; -2.630   ; 0.000   ; -1.285              ;
9229
+--------------------------------------------------------------+-----------+----------+----------+---------+---------------------+
9230
 
9231
 
9232
+----------------------------------------------------------------------------------------------------------------------------------------------------------------------+
9233
; Setup Times                                                                                                                                                          ;
9234
+-------------+-------------------------------------------------------------+-------+-------+------------+-------------------------------------------------------------+
9235
; Data Port   ; Clock Port                                                  ; Rise  ; Fall  ; Clock Edge ; Clock Reference                                             ;
9236
+-------------+-------------------------------------------------------------+-------+-------+------------+-------------------------------------------------------------+
9237
; PS2_CLK     ; CLOCK_50                                                    ; 3.471 ; 4.087 ; Rise       ; CLOCK_50                                                    ;
9238
; SW[*]       ; CLOCK_50                                                    ; 4.964 ; 5.578 ; Rise       ; CLOCK_50                                                    ;
9239
;  SW[17]     ; CLOCK_50                                                    ; 4.964 ; 5.578 ; Rise       ; CLOCK_50                                                    ;
9240
; KEY[*]      ; SW[16]                                                      ; 3.535 ; 3.995 ; Rise       ; SW[16]                                                      ;
9241
;  KEY[0]     ; SW[16]                                                      ; 3.535 ; 3.995 ; Rise       ; SW[16]                                                      ;
9242
;  KEY[1]     ; SW[16]                                                      ; 3.009 ; 3.453 ; Rise       ; SW[16]                                                      ;
9243
;  KEY[2]     ; SW[16]                                                      ; 2.703 ; 3.122 ; Rise       ; SW[16]                                                      ;
9244
;  KEY[3]     ; SW[16]                                                      ; 1.443 ; 1.925 ; Rise       ; SW[16]                                                      ;
9245
; SRAM_DQ[*]  ; SW[16]                                                      ; 3.187 ; 3.715 ; Rise       ; SW[16]                                                      ;
9246
;  SRAM_DQ[0] ; SW[16]                                                      ; 3.187 ; 3.715 ; Rise       ; SW[16]                                                      ;
9247
;  SRAM_DQ[1] ; SW[16]                                                      ; 2.894 ; 3.578 ; Rise       ; SW[16]                                                      ;
9248
;  SRAM_DQ[2] ; SW[16]                                                      ; 2.040 ; 2.782 ; Rise       ; SW[16]                                                      ;
9249
;  SRAM_DQ[3] ; SW[16]                                                      ; 2.211 ; 2.887 ; Rise       ; SW[16]                                                      ;
9250
;  SRAM_DQ[4] ; SW[16]                                                      ; 1.721 ; 2.361 ; Rise       ; SW[16]                                                      ;
9251
;  SRAM_DQ[5] ; SW[16]                                                      ; 1.683 ; 2.273 ; Rise       ; SW[16]                                                      ;
9252
;  SRAM_DQ[6] ; SW[16]                                                      ; 2.375 ; 3.020 ; Rise       ; SW[16]                                                      ;
9253
;  SRAM_DQ[7] ; SW[16]                                                      ; 2.236 ; 2.880 ; Rise       ; SW[16]                                                      ;
9254
; SW[*]       ; SW[16]                                                      ; 3.486 ; 4.029 ; Rise       ; SW[16]                                                      ;
9255
;  SW[0]      ; SW[16]                                                      ; 3.486 ; 4.029 ; Rise       ; SW[16]                                                      ;
9256
;  SW[1]      ; SW[16]                                                      ; 2.069 ; 2.605 ; Rise       ; SW[16]                                                      ;
9257
;  SW[2]      ; SW[16]                                                      ; 2.824 ; 3.455 ; Rise       ; SW[16]                                                      ;
9258
;  SW[3]      ; SW[16]                                                      ; 1.900 ; 2.535 ; Rise       ; SW[16]                                                      ;
9259
;  SW[4]      ; SW[16]                                                      ; 0.995 ; 1.562 ; Rise       ; SW[16]                                                      ;
9260
;  SW[5]      ; SW[16]                                                      ; 1.318 ; 1.894 ; Rise       ; SW[16]                                                      ;
9261
;  SW[6]      ; SW[16]                                                      ; 2.065 ; 2.672 ; Rise       ; SW[16]                                                      ;
9262
;  SW[7]      ; SW[16]                                                      ; 1.980 ; 2.580 ; Rise       ; SW[16]                                                      ;
9263
;  SW[8]      ; SW[16]                                                      ; 3.184 ; 3.696 ; Rise       ; SW[16]                                                      ;
9264
;  SW[9]      ; SW[16]                                                      ; 2.968 ; 3.634 ; Rise       ; SW[16]                                                      ;
9265
;  SW[10]     ; SW[16]                                                      ; 2.391 ; 3.038 ; Rise       ; SW[16]                                                      ;
9266
;  SW[11]     ; SW[16]                                                      ; 3.066 ; 3.669 ; Rise       ; SW[16]                                                      ;
9267
;  SW[12]     ; SW[16]                                                      ; 1.158 ; 1.696 ; Rise       ; SW[16]                                                      ;
9268
;  SW[13]     ; SW[16]                                                      ; 1.949 ; 2.524 ; Rise       ; SW[16]                                                      ;
9269
;  SW[14]     ; SW[16]                                                      ; 2.015 ; 2.595 ; Rise       ; SW[16]                                                      ;
9270
;  SW[15]     ; SW[16]                                                      ; 2.192 ; 2.775 ; Rise       ; SW[16]                                                      ;
9271
; PS2_DAT     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 2.663 ; 3.183 ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ;
9272
; SW[*]       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 3.701 ; 4.343 ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ;
9273
;  SW[17]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 3.701 ; 4.343 ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ;
9274
+-------------+-------------------------------------------------------------+-------+-------+------------+-------------------------------------------------------------+
9275
 
9276
 
9277
+------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
9278
; Hold Times                                                                                                                                                             ;
9279
+-------------+-------------------------------------------------------------+--------+--------+------------+-------------------------------------------------------------+
9280
; Data Port   ; Clock Port                                                  ; Rise   ; Fall   ; Clock Edge ; Clock Reference                                             ;
9281
+-------------+-------------------------------------------------------------+--------+--------+------------+-------------------------------------------------------------+
9282
; PS2_CLK     ; CLOCK_50                                                    ; -1.427 ; -2.367 ; Rise       ; CLOCK_50                                                    ;
9283
; SW[*]       ; CLOCK_50                                                    ; -1.376 ; -2.299 ; Rise       ; CLOCK_50                                                    ;
9284
;  SW[17]     ; CLOCK_50                                                    ; -1.376 ; -2.299 ; Rise       ; CLOCK_50                                                    ;
9285
; KEY[*]      ; SW[16]                                                      ; 0.059  ; -0.333 ; Rise       ; SW[16]                                                      ;
9286
;  KEY[0]     ; SW[16]                                                      ; -1.040 ; -1.861 ; Rise       ; SW[16]                                                      ;
9287
;  KEY[1]     ; SW[16]                                                      ; -0.753 ; -1.654 ; Rise       ; SW[16]                                                      ;
9288
;  KEY[2]     ; SW[16]                                                      ; -0.546 ; -1.375 ; Rise       ; SW[16]                                                      ;
9289
;  KEY[3]     ; SW[16]                                                      ; 0.059  ; -0.333 ; Rise       ; SW[16]                                                      ;
9290
; SRAM_DQ[*]  ; SW[16]                                                      ; -0.265 ; -0.788 ; Rise       ; SW[16]                                                      ;
9291
;  SRAM_DQ[0] ; SW[16]                                                      ; -0.954 ; -2.000 ; Rise       ; SW[16]                                                      ;
9292
;  SRAM_DQ[1] ; SW[16]                                                      ; -0.775 ; -1.751 ; Rise       ; SW[16]                                                      ;
9293
;  SRAM_DQ[2] ; SW[16]                                                      ; -0.392 ; -1.173 ; Rise       ; SW[16]                                                      ;
9294
;  SRAM_DQ[3] ; SW[16]                                                      ; -0.438 ; -1.131 ; Rise       ; SW[16]                                                      ;
9295
;  SRAM_DQ[4] ; SW[16]                                                      ; -0.290 ; -0.871 ; Rise       ; SW[16]                                                      ;
9296
;  SRAM_DQ[5] ; SW[16]                                                      ; -0.265 ; -0.788 ; Rise       ; SW[16]                                                      ;
9297
;  SRAM_DQ[6] ; SW[16]                                                      ; -0.553 ; -1.381 ; Rise       ; SW[16]                                                      ;
9298
;  SRAM_DQ[7] ; SW[16]                                                      ; -0.483 ; -1.350 ; Rise       ; SW[16]                                                      ;
9299
; SW[*]       ; SW[16]                                                      ; 0.113  ; -0.210 ; Rise       ; SW[16]                                                      ;
9300
;  SW[0]      ; SW[16]                                                      ; -1.020 ; -1.964 ; Rise       ; SW[16]                                                      ;
9301
;  SW[1]      ; SW[16]                                                      ; -0.373 ; -0.948 ; Rise       ; SW[16]                                                      ;
9302
;  SW[2]      ; SW[16]                                                      ; -0.631 ; -1.580 ; Rise       ; SW[16]                                                      ;
9303
;  SW[3]      ; SW[16]                                                      ; -0.221 ; -0.809 ; Rise       ; SW[16]                                                      ;
9304
;  SW[4]      ; SW[16]                                                      ; 0.113  ; -0.210 ; Rise       ; SW[16]                                                      ;
9305
;  SW[5]      ; SW[16]                                                      ; -0.075 ; -0.462 ; Rise       ; SW[16]                                                      ;
9306
;  SW[6]      ; SW[16]                                                      ; -0.412 ; -1.085 ; Rise       ; SW[16]                                                      ;
9307
;  SW[7]      ; SW[16]                                                      ; -0.386 ; -1.097 ; Rise       ; SW[16]                                                      ;
9308
;  SW[8]      ; SW[16]                                                      ; -0.931 ; -1.977 ; Rise       ; SW[16]                                                      ;
9309
;  SW[9]      ; SW[16]                                                      ; -0.749 ; -1.710 ; Rise       ; SW[16]                                                      ;
9310
;  SW[10]     ; SW[16]                                                      ; -0.490 ; -1.365 ; Rise       ; SW[16]                                                      ;
9311
;  SW[11]     ; SW[16]                                                      ; -0.692 ; -1.694 ; Rise       ; SW[16]                                                      ;
9312
;  SW[12]     ; SW[16]                                                      ; 0.014  ; -0.316 ; Rise       ; SW[16]                                                      ;
9313
;  SW[13]     ; SW[16]                                                      ; -0.380 ; -1.016 ; Rise       ; SW[16]                                                      ;
9314
;  SW[14]     ; SW[16]                                                      ; -0.363 ; -1.017 ; Rise       ; SW[16]                                                      ;
9315
;  SW[15]     ; SW[16]                                                      ; -0.459 ; -1.258 ; Rise       ; SW[16]                                                      ;
9316
; PS2_DAT     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; -0.208 ; -0.839 ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ;
9317
; SW[*]       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; -0.539 ; -1.466 ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ;
9318
;  SW[17]     ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; -0.539 ; -1.466 ; Rise       ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ;
9319
+-------------+-------------------------------------------------------------+--------+--------+------------+-------------------------------------------------------------+
9320
 
9321
 
9322
+-------------------------------------------------------------------------------------------------------------------+
9323
; Clock to Output Times                                                                                             ;
9324
+----------------+---------------------------------+--------+--------+------------+---------------------------------+
9325
; Data Port      ; Clock Port                      ; Rise   ; Fall   ; Clock Edge ; Clock Reference                 ;
9326
+----------------+---------------------------------+--------+--------+------------+---------------------------------+
9327
; LCD_DATA[*]    ; CLOCK_50                        ; 12.101 ; 12.194 ; Rise       ; CLOCK_50                        ;
9328
;  LCD_DATA[0]   ; CLOCK_50                        ; 11.677 ; 11.624 ; Rise       ; CLOCK_50                        ;
9329
;  LCD_DATA[1]   ; CLOCK_50                        ; 10.413 ; 10.465 ; Rise       ; CLOCK_50                        ;
9330
;  LCD_DATA[2]   ; CLOCK_50                        ; 12.101 ; 12.194 ; Rise       ; CLOCK_50                        ;
9331
;  LCD_DATA[3]   ; CLOCK_50                        ; 12.028 ; 12.082 ; Rise       ; CLOCK_50                        ;
9332
;  LCD_DATA[4]   ; CLOCK_50                        ; 11.205 ; 11.069 ; Rise       ; CLOCK_50                        ;
9333
;  LCD_DATA[5]   ; CLOCK_50                        ; 11.922 ; 12.054 ; Rise       ; CLOCK_50                        ;
9334
;  LCD_DATA[6]   ; CLOCK_50                        ; 9.577  ; 9.604  ; Rise       ; CLOCK_50                        ;
9335
;  LCD_DATA[7]   ; CLOCK_50                        ; 11.973 ; 11.696 ; Rise       ; CLOCK_50                        ;
9336
; LCD_EN         ; CLOCK_50                        ; 12.660 ; 12.724 ; Rise       ; CLOCK_50                        ;
9337
; LCD_ON         ; CLOCK_50                        ; 12.355 ; 12.166 ; Rise       ; CLOCK_50                        ;
9338
; LCD_RS         ; CLOCK_50                        ; 10.888 ; 10.941 ; Rise       ; CLOCK_50                        ;
9339
; HEX0[*]        ; SW[16]                          ; 16.668 ; 16.602 ; Rise       ; SW[16]                          ;
9340
;  HEX0[0]       ; SW[16]                          ; 15.160 ; 15.106 ; Rise       ; SW[16]                          ;
9341
;  HEX0[1]       ; SW[16]                          ; 16.514 ; 16.602 ; Rise       ; SW[16]                          ;
9342
;  HEX0[2]       ; SW[16]                          ; 15.399 ; 15.255 ; Rise       ; SW[16]                          ;
9343
;  HEX0[3]       ; SW[16]                          ; 14.486 ; 14.482 ; Rise       ; SW[16]                          ;
9344
;  HEX0[4]       ; SW[16]                          ; 14.339 ; 14.258 ; Rise       ; SW[16]                          ;
9345
;  HEX0[5]       ; SW[16]                          ; 16.668 ; 16.333 ; Rise       ; SW[16]                          ;
9346
;  HEX0[6]       ; SW[16]                          ; 14.635 ; 14.648 ; Rise       ; SW[16]                          ;
9347
; HEX1[*]        ; SW[16]                          ; 17.065 ; 16.534 ; Rise       ; SW[16]                          ;
9348
;  HEX1[0]       ; SW[16]                          ; 14.269 ; 14.121 ; Rise       ; SW[16]                          ;
9349
;  HEX1[1]       ; SW[16]                          ; 15.510 ; 15.167 ; Rise       ; SW[16]                          ;
9350
;  HEX1[2]       ; SW[16]                          ; 14.481 ; 14.545 ; Rise       ; SW[16]                          ;
9351
;  HEX1[3]       ; SW[16]                          ; 15.065 ; 14.833 ; Rise       ; SW[16]                          ;
9352
;  HEX1[4]       ; SW[16]                          ; 14.519 ; 14.445 ; Rise       ; SW[16]                          ;
9353
;  HEX1[5]       ; SW[16]                          ; 17.065 ; 16.534 ; Rise       ; SW[16]                          ;
9354
;  HEX1[6]       ; SW[16]                          ; 15.367 ; 15.681 ; Rise       ; SW[16]                          ;
9355
; HEX2[*]        ; SW[16]                          ; 15.489 ; 15.085 ; Rise       ; SW[16]                          ;
9356
;  HEX2[0]       ; SW[16]                          ; 14.939 ; 14.585 ; Rise       ; SW[16]                          ;
9357
;  HEX2[1]       ; SW[16]                          ; 13.890 ; 13.753 ; Rise       ; SW[16]                          ;
9358
;  HEX2[2]       ; SW[16]                          ; 15.489 ; 15.085 ; Rise       ; SW[16]                          ;
9359
;  HEX2[3]       ; SW[16]                          ; 15.424 ; 15.066 ; Rise       ; SW[16]                          ;
9360
;  HEX2[4]       ; SW[16]                          ; 15.430 ; 14.970 ; Rise       ; SW[16]                          ;
9361
;  HEX2[5]       ; SW[16]                          ; 14.231 ; 14.026 ; Rise       ; SW[16]                          ;
9362
;  HEX2[6]       ; SW[16]                          ; 13.963 ; 14.083 ; Rise       ; SW[16]                          ;
9363
; HEX3[*]        ; SW[16]                          ; 17.577 ; 16.891 ; Rise       ; SW[16]                          ;
9364
;  HEX3[0]       ; SW[16]                          ; 15.492 ; 15.147 ; Rise       ; SW[16]                          ;
9365
;  HEX3[1]       ; SW[16]                          ; 14.992 ; 14.907 ; Rise       ; SW[16]                          ;
9366
;  HEX3[2]       ; SW[16]                          ; 17.577 ; 16.891 ; Rise       ; SW[16]                          ;
9367
;  HEX3[3]       ; SW[16]                          ; 14.618 ; 14.525 ; Rise       ; SW[16]                          ;
9368
;  HEX3[4]       ; SW[16]                          ; 15.496 ; 15.368 ; Rise       ; SW[16]                          ;
9369
;  HEX3[5]       ; SW[16]                          ; 14.818 ; 14.764 ; Rise       ; SW[16]                          ;
9370
;  HEX3[6]       ; SW[16]                          ; 13.989 ; 14.036 ; Rise       ; SW[16]                          ;
9371
; HEX4[*]        ; SW[16]                          ; 14.796 ; 14.549 ; Rise       ; SW[16]                          ;
9372
;  HEX4[0]       ; SW[16]                          ; 14.796 ; 14.549 ; Rise       ; SW[16]                          ;
9373
;  HEX4[1]       ; SW[16]                          ; 14.639 ; 14.502 ; Rise       ; SW[16]                          ;
9374
;  HEX4[2]       ; SW[16]                          ; 13.392 ; 13.168 ; Rise       ; SW[16]                          ;
9375
;  HEX4[3]       ; SW[16]                          ; 13.337 ; 13.232 ; Rise       ; SW[16]                          ;
9376
;  HEX4[4]       ; SW[16]                          ; 13.584 ; 13.492 ; Rise       ; SW[16]                          ;
9377
;  HEX4[5]       ; SW[16]                          ; 13.439 ; 13.259 ; Rise       ; SW[16]                          ;
9378
;  HEX4[6]       ; SW[16]                          ; 12.934 ; 13.005 ; Rise       ; SW[16]                          ;
9379
; HEX5[*]        ; SW[16]                          ; 15.859 ; 15.492 ; Rise       ; SW[16]                          ;
9380
;  HEX5[0]       ; SW[16]                          ; 14.806 ; 14.597 ; Rise       ; SW[16]                          ;
9381
;  HEX5[1]       ; SW[16]                          ; 15.859 ; 15.492 ; Rise       ; SW[16]                          ;
9382
;  HEX5[2]       ; SW[16]                          ; 13.976 ; 13.818 ; Rise       ; SW[16]                          ;
9383
;  HEX5[3]       ; SW[16]                          ; 14.280 ; 14.059 ; Rise       ; SW[16]                          ;
9384
;  HEX5[4]       ; SW[16]                          ; 13.948 ; 13.840 ; Rise       ; SW[16]                          ;
9385
;  HEX5[5]       ; SW[16]                          ; 14.741 ; 14.481 ; Rise       ; SW[16]                          ;
9386
;  HEX5[6]       ; SW[16]                          ; 13.905 ; 13.996 ; Rise       ; SW[16]                          ;
9387
; HEX6[*]        ; SW[16]                          ; 14.441 ; 13.951 ; Rise       ; SW[16]                          ;
9388
;  HEX6[0]       ; SW[16]                          ; 13.474 ; 13.255 ; Rise       ; SW[16]                          ;
9389
;  HEX6[1]       ; SW[16]                          ; 12.329 ; 12.189 ; Rise       ; SW[16]                          ;
9390
;  HEX6[2]       ; SW[16]                          ; 12.337 ; 12.136 ; Rise       ; SW[16]                          ;
9391
;  HEX6[3]       ; SW[16]                          ; 13.793 ; 13.538 ; Rise       ; SW[16]                          ;
9392
;  HEX6[4]       ; SW[16]                          ; 12.357 ; 12.209 ; Rise       ; SW[16]                          ;
9393
;  HEX6[5]       ; SW[16]                          ; 14.441 ; 13.951 ; Rise       ; SW[16]                          ;
9394
;  HEX6[6]       ; SW[16]                          ; 12.577 ; 12.738 ; Rise       ; SW[16]                          ;
9395
; HEX7[*]        ; SW[16]                          ; 12.973 ; 13.113 ; Rise       ; SW[16]                          ;
9396
;  HEX7[0]       ; SW[16]                          ; 12.845 ; 12.622 ; Rise       ; SW[16]                          ;
9397
;  HEX7[1]       ; SW[16]                          ; 12.493 ; 12.346 ; Rise       ; SW[16]                          ;
9398
;  HEX7[2]       ; SW[16]                          ; 12.679 ; 12.468 ; Rise       ; SW[16]                          ;
9399
;  HEX7[3]       ; SW[16]                          ; 12.700 ; 12.509 ; Rise       ; SW[16]                          ;
9400
;  HEX7[4]       ; SW[16]                          ; 12.614 ; 12.463 ; Rise       ; SW[16]                          ;
9401
;  HEX7[5]       ; SW[16]                          ; 12.563 ; 12.428 ; Rise       ; SW[16]                          ;
9402
;  HEX7[6]       ; SW[16]                          ; 12.973 ; 13.113 ; Rise       ; SW[16]                          ;
9403
; LEDG[*]        ; SW[16]                          ; 17.819 ; 17.770 ; Rise       ; SW[16]                          ;
9404
;  LEDG[0]       ; SW[16]                          ; 13.682 ; 13.745 ; Rise       ; SW[16]                          ;
9405
;  LEDG[1]       ; SW[16]                          ; 17.819 ; 17.770 ; Rise       ; SW[16]                          ;
9406
;  LEDG[2]       ; SW[16]                          ; 15.545 ; 15.513 ; Rise       ; SW[16]                          ;
9407
;  LEDG[3]       ; SW[16]                          ; 14.186 ; 14.170 ; Rise       ; SW[16]                          ;
9408
;  LEDG[4]       ; SW[16]                          ; 14.877 ; 14.788 ; Rise       ; SW[16]                          ;
9409
;  LEDG[5]       ; SW[16]                          ; 13.644 ; 13.626 ; Rise       ; SW[16]                          ;
9410
;  LEDG[6]       ; SW[16]                          ; 14.793 ; 14.689 ; Rise       ; SW[16]                          ;
9411
;  LEDG[7]       ; SW[16]                          ; 15.167 ; 15.052 ; Rise       ; SW[16]                          ;
9412
; LEDR[*]        ; SW[16]                          ; 18.072 ; 18.145 ; Rise       ; SW[16]                          ;
9413
;  LEDR[0]       ; SW[16]                          ; 13.418 ; 13.416 ; Rise       ; SW[16]                          ;
9414
;  LEDR[1]       ; SW[16]                          ; 16.792 ; 16.571 ; Rise       ; SW[16]                          ;
9415
;  LEDR[2]       ; SW[16]                          ; 18.072 ; 18.145 ; Rise       ; SW[16]                          ;
9416
;  LEDR[3]       ; SW[16]                          ; 14.720 ; 14.793 ; Rise       ; SW[16]                          ;
9417
;  LEDR[4]       ; SW[16]                          ; 13.956 ; 13.997 ; Rise       ; SW[16]                          ;
9418
;  LEDR[5]       ; SW[16]                          ; 16.228 ; 16.040 ; Rise       ; SW[16]                          ;
9419
;  LEDR[6]       ; SW[16]                          ; 14.393 ; 14.483 ; Rise       ; SW[16]                          ;
9420
;  LEDR[7]       ; SW[16]                          ; 15.082 ; 14.973 ; Rise       ; SW[16]                          ;
9421
;  LEDR[8]       ; SW[16]                          ; 15.090 ; 14.971 ; Rise       ; SW[16]                          ;
9422
;  LEDR[9]       ; SW[16]                          ; 14.815 ; 14.984 ; Rise       ; SW[16]                          ;
9423
;  LEDR[10]      ; SW[16]                          ; 14.881 ; 14.800 ; Rise       ; SW[16]                          ;
9424
;  LEDR[11]      ; SW[16]                          ; 14.535 ; 14.455 ; Rise       ; SW[16]                          ;
9425
;  LEDR[12]      ; SW[16]                          ; 14.762 ; 14.701 ; Rise       ; SW[16]                          ;
9426
;  LEDR[13]      ; SW[16]                          ; 14.941 ; 14.851 ; Rise       ; SW[16]                          ;
9427
;  LEDR[14]      ; SW[16]                          ; 15.196 ; 15.109 ; Rise       ; SW[16]                          ;
9428
;  LEDR[15]      ; SW[16]                          ; 15.916 ; 15.945 ; Rise       ; SW[16]                          ;
9429
; SRAM_ADDR[*]   ; SW[16]                          ; 15.598 ; 16.153 ; Rise       ; SW[16]                          ;
9430
;  SRAM_ADDR[0]  ; SW[16]                          ; 11.065 ; 11.106 ; Rise       ; SW[16]                          ;
9431
;  SRAM_ADDR[1]  ; SW[16]                          ; 11.115 ; 11.175 ; Rise       ; SW[16]                          ;
9432
;  SRAM_ADDR[2]  ; SW[16]                          ; 12.561 ; 12.711 ; Rise       ; SW[16]                          ;
9433
;  SRAM_ADDR[3]  ; SW[16]                          ; 11.967 ; 12.049 ; Rise       ; SW[16]                          ;
9434
;  SRAM_ADDR[4]  ; SW[16]                          ; 12.514 ; 12.623 ; Rise       ; SW[16]                          ;
9435
;  SRAM_ADDR[5]  ; SW[16]                          ; 12.048 ; 12.151 ; Rise       ; SW[16]                          ;
9436
;  SRAM_ADDR[6]  ; SW[16]                          ; 11.275 ; 11.359 ; Rise       ; SW[16]                          ;
9437
;  SRAM_ADDR[7]  ; SW[16]                          ; 11.533 ; 11.701 ; Rise       ; SW[16]                          ;
9438
;  SRAM_ADDR[8]  ; SW[16]                          ; 12.075 ; 12.077 ; Rise       ; SW[16]                          ;
9439
;  SRAM_ADDR[9]  ; SW[16]                          ; 12.681 ; 12.349 ; Rise       ; SW[16]                          ;
9440
;  SRAM_ADDR[10] ; SW[16]                          ; 12.081 ; 12.080 ; Rise       ; SW[16]                          ;
9441
;  SRAM_ADDR[11] ; SW[16]                          ; 10.611 ; 10.691 ; Rise       ; SW[16]                          ;
9442
;  SRAM_ADDR[12] ; SW[16]                          ; 13.453 ; 13.208 ; Rise       ; SW[16]                          ;
9443
;  SRAM_ADDR[13] ; SW[16]                          ; 11.259 ; 11.247 ; Rise       ; SW[16]                          ;
9444
;  SRAM_ADDR[14] ; SW[16]                          ; 12.633 ; 12.614 ; Rise       ; SW[16]                          ;
9445
;  SRAM_ADDR[15] ; SW[16]                          ; 15.598 ; 16.153 ; Rise       ; SW[16]                          ;
9446
; SRAM_DQ[*]     ; SW[16]                          ; 16.090 ; 16.113 ; Rise       ; SW[16]                          ;
9447
;  SRAM_DQ[0]    ; SW[16]                          ; 13.854 ; 13.885 ; Rise       ; SW[16]                          ;
9448
;  SRAM_DQ[1]    ; SW[16]                          ; 14.381 ; 14.508 ; Rise       ; SW[16]                          ;
9449
;  SRAM_DQ[2]    ; SW[16]                          ; 16.090 ; 16.113 ; Rise       ; SW[16]                          ;
9450
;  SRAM_DQ[3]    ; SW[16]                          ; 14.943 ; 15.007 ; Rise       ; SW[16]                          ;
9451
;  SRAM_DQ[4]    ; SW[16]                          ; 15.535 ; 15.596 ; Rise       ; SW[16]                          ;
9452
;  SRAM_DQ[5]    ; SW[16]                          ; 14.532 ; 14.589 ; Rise       ; SW[16]                          ;
9453
;  SRAM_DQ[6]    ; SW[16]                          ; 15.659 ; 15.622 ; Rise       ; SW[16]                          ;
9454
;  SRAM_DQ[7]    ; SW[16]                          ; 13.605 ; 13.666 ; Rise       ; SW[16]                          ;
9455
; SRAM_OE_N      ; SW[16]                          ; 14.827 ; 14.846 ; Rise       ; SW[16]                          ;
9456
; SRAM_WE_N      ; SW[16]                          ; 13.161 ; 13.070 ; Rise       ; SW[16]                          ;
9457
; SRAM_OE_N      ; T80se:z80_inst|MREQ_n           ;        ; 7.604  ; Rise       ; T80se:z80_inst|MREQ_n           ;
9458
; SRAM_WE_N      ; T80se:z80_inst|MREQ_n           ;        ; 8.134  ; Rise       ; T80se:z80_inst|MREQ_n           ;
9459
; SRAM_OE_N      ; T80se:z80_inst|MREQ_n           ; 7.616  ;        ; Fall       ; T80se:z80_inst|MREQ_n           ;
9460
; SRAM_WE_N      ; T80se:z80_inst|MREQ_n           ; 8.241  ;        ; Fall       ; T80se:z80_inst|MREQ_n           ;
9461
; VGA_B[*]       ; clk_div:clkdiv_inst|clock_25MHz ; 11.260 ; 11.126 ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
9462
;  VGA_B[4]      ; clk_div:clkdiv_inst|clock_25MHz ; 10.554 ; 10.391 ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
9463
;  VGA_B[5]      ; clk_div:clkdiv_inst|clock_25MHz ; 9.970  ; 9.845  ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
9464
;  VGA_B[6]      ; clk_div:clkdiv_inst|clock_25MHz ; 11.260 ; 11.126 ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
9465
;  VGA_B[7]      ; clk_div:clkdiv_inst|clock_25MHz ; 10.276 ; 10.132 ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
9466
; VGA_CLK        ; clk_div:clkdiv_inst|clock_25MHz ; 4.024  ;        ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
9467
; VGA_HS         ; clk_div:clkdiv_inst|clock_25MHz ; 8.702  ; 8.721  ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
9468
; VGA_VS         ; clk_div:clkdiv_inst|clock_25MHz ; 8.116  ; 8.093  ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
9469
; VGA_CLK        ; clk_div:clkdiv_inst|clock_25MHz ;        ; 3.908  ; Fall       ; clk_div:clkdiv_inst|clock_25MHz ;
9470
+----------------+---------------------------------+--------+--------+------------+---------------------------------+
9471
 
9472
 
9473
+-----------------------------------------------------------------------------------------------------------------+
9474
; Minimum Clock to Output Times                                                                                   ;
9475
+----------------+---------------------------------+-------+-------+------------+---------------------------------+
9476
; Data Port      ; Clock Port                      ; Rise  ; Fall  ; Clock Edge ; Clock Reference                 ;
9477
+----------------+---------------------------------+-------+-------+------------+---------------------------------+
9478
; LCD_DATA[*]    ; CLOCK_50                        ; 4.885 ; 5.083 ; Rise       ; CLOCK_50                        ;
9479
;  LCD_DATA[0]   ; CLOCK_50                        ; 5.863 ; 6.160 ; Rise       ; CLOCK_50                        ;
9480
;  LCD_DATA[1]   ; CLOCK_50                        ; 5.292 ; 5.552 ; Rise       ; CLOCK_50                        ;
9481
;  LCD_DATA[2]   ; CLOCK_50                        ; 6.101 ; 6.477 ; Rise       ; CLOCK_50                        ;
9482
;  LCD_DATA[3]   ; CLOCK_50                        ; 6.373 ; 6.051 ; Rise       ; CLOCK_50                        ;
9483
;  LCD_DATA[4]   ; CLOCK_50                        ; 5.941 ; 5.616 ; Rise       ; CLOCK_50                        ;
9484
;  LCD_DATA[5]   ; CLOCK_50                        ; 6.345 ; 6.029 ; Rise       ; CLOCK_50                        ;
9485
;  LCD_DATA[6]   ; CLOCK_50                        ; 4.885 ; 5.083 ; Rise       ; CLOCK_50                        ;
9486
;  LCD_DATA[7]   ; CLOCK_50                        ; 6.536 ; 6.545 ; Rise       ; CLOCK_50                        ;
9487
; LCD_EN         ; CLOCK_50                        ; 6.706 ; 6.338 ; Rise       ; CLOCK_50                        ;
9488
; LCD_ON         ; CLOCK_50                        ; 6.698 ; 6.783 ; Rise       ; CLOCK_50                        ;
9489
; LCD_RS         ; CLOCK_50                        ; 5.512 ; 5.792 ; Rise       ; CLOCK_50                        ;
9490
; HEX0[*]        ; SW[16]                          ; 6.837 ; 6.957 ; Rise       ; SW[16]                          ;
9491
;  HEX0[0]       ; SW[16]                          ; 7.204 ; 7.400 ; Rise       ; SW[16]                          ;
9492
;  HEX0[1]       ; SW[16]                          ; 8.097 ; 8.347 ; Rise       ; SW[16]                          ;
9493
;  HEX0[2]       ; SW[16]                          ; 7.324 ; 7.486 ; Rise       ; SW[16]                          ;
9494
;  HEX0[3]       ; SW[16]                          ; 6.903 ; 7.058 ; Rise       ; SW[16]                          ;
9495
;  HEX0[4]       ; SW[16]                          ; 6.837 ; 6.957 ; Rise       ; SW[16]                          ;
9496
;  HEX0[5]       ; SW[16]                          ; 8.445 ; 8.393 ; Rise       ; SW[16]                          ;
9497
;  HEX0[6]       ; SW[16]                          ; 7.132 ; 6.974 ; Rise       ; SW[16]                          ;
9498
; HEX1[*]        ; SW[16]                          ; 6.775 ; 6.879 ; Rise       ; SW[16]                          ;
9499
;  HEX1[0]       ; SW[16]                          ; 6.775 ; 6.879 ; Rise       ; SW[16]                          ;
9500
;  HEX1[1]       ; SW[16]                          ; 7.326 ; 7.413 ; Rise       ; SW[16]                          ;
9501
;  HEX1[2]       ; SW[16]                          ; 6.952 ; 7.120 ; Rise       ; SW[16]                          ;
9502
;  HEX1[3]       ; SW[16]                          ; 7.114 ; 7.225 ; Rise       ; SW[16]                          ;
9503
;  HEX1[4]       ; SW[16]                          ; 6.923 ; 7.034 ; Rise       ; SW[16]                          ;
9504
;  HEX1[5]       ; SW[16]                          ; 8.569 ; 8.487 ; Rise       ; SW[16]                          ;
9505
;  HEX1[6]       ; SW[16]                          ; 7.860 ; 7.989 ; Rise       ; SW[16]                          ;
9506
; HEX2[*]        ; SW[16]                          ; 6.591 ; 6.645 ; Rise       ; SW[16]                          ;
9507
;  HEX2[0]       ; SW[16]                          ; 7.037 ; 7.092 ; Rise       ; SW[16]                          ;
9508
;  HEX2[1]       ; SW[16]                          ; 6.591 ; 6.645 ; Rise       ; SW[16]                          ;
9509
;  HEX2[2]       ; SW[16]                          ; 7.298 ; 7.427 ; Rise       ; SW[16]                          ;
9510
;  HEX2[3]       ; SW[16]                          ; 7.233 ; 7.320 ; Rise       ; SW[16]                          ;
9511
;  HEX2[4]       ; SW[16]                          ; 7.239 ; 7.325 ; Rise       ; SW[16]                          ;
9512
;  HEX2[5]       ; SW[16]                          ; 6.720 ; 6.783 ; Rise       ; SW[16]                          ;
9513
;  HEX2[6]       ; SW[16]                          ; 6.758 ; 6.684 ; Rise       ; SW[16]                          ;
9514
; HEX3[*]        ; SW[16]                          ; 6.759 ; 6.654 ; Rise       ; SW[16]                          ;
9515
;  HEX3[0]       ; SW[16]                          ; 7.281 ; 7.370 ; Rise       ; SW[16]                          ;
9516
;  HEX3[1]       ; SW[16]                          ; 7.102 ; 7.265 ; Rise       ; SW[16]                          ;
9517
;  HEX3[2]       ; SW[16]                          ; 8.842 ; 8.743 ; Rise       ; SW[16]                          ;
9518
;  HEX3[3]       ; SW[16]                          ; 6.918 ; 7.055 ; Rise       ; SW[16]                          ;
9519
;  HEX3[4]       ; SW[16]                          ; 7.108 ; 7.244 ; Rise       ; SW[16]                          ;
9520
;  HEX3[5]       ; SW[16]                          ; 6.795 ; 6.917 ; Rise       ; SW[16]                          ;
9521
;  HEX3[6]       ; SW[16]                          ; 6.759 ; 6.654 ; Rise       ; SW[16]                          ;
9522
; HEX4[*]        ; SW[16]                          ; 6.203 ; 6.183 ; Rise       ; SW[16]                          ;
9523
;  HEX4[0]       ; SW[16]                          ; 7.011 ; 7.102 ; Rise       ; SW[16]                          ;
9524
;  HEX4[1]       ; SW[16]                          ; 6.998 ; 7.075 ; Rise       ; SW[16]                          ;
9525
;  HEX4[2]       ; SW[16]                          ; 6.411 ; 6.438 ; Rise       ; SW[16]                          ;
9526
;  HEX4[3]       ; SW[16]                          ; 6.323 ; 6.336 ; Rise       ; SW[16]                          ;
9527
;  HEX4[4]       ; SW[16]                          ; 6.470 ; 6.527 ; Rise       ; SW[16]                          ;
9528
;  HEX4[5]       ; SW[16]                          ; 6.379 ; 6.401 ; Rise       ; SW[16]                          ;
9529
;  HEX4[6]       ; SW[16]                          ; 6.203 ; 6.183 ; Rise       ; SW[16]                          ;
9530
; HEX5[*]        ; SW[16]                          ; 6.620 ; 6.648 ; Rise       ; SW[16]                          ;
9531
;  HEX5[0]       ; SW[16]                          ; 7.028 ; 7.148 ; Rise       ; SW[16]                          ;
9532
;  HEX5[1]       ; SW[16]                          ; 8.088 ; 7.938 ; Rise       ; SW[16]                          ;
9533
;  HEX5[2]       ; SW[16]                          ; 6.639 ; 6.760 ; Rise       ; SW[16]                          ;
9534
;  HEX5[3]       ; SW[16]                          ; 6.730 ; 6.798 ; Rise       ; SW[16]                          ;
9535
;  HEX5[4]       ; SW[16]                          ; 6.620 ; 6.706 ; Rise       ; SW[16]                          ;
9536
;  HEX5[5]       ; SW[16]                          ; 6.971 ; 7.068 ; Rise       ; SW[16]                          ;
9537
;  HEX5[6]       ; SW[16]                          ; 6.729 ; 6.648 ; Rise       ; SW[16]                          ;
9538
; HEX6[*]        ; SW[16]                          ; 5.863 ; 5.832 ; Rise       ; SW[16]                          ;
9539
;  HEX6[0]       ; SW[16]                          ; 6.383 ; 6.403 ; Rise       ; SW[16]                          ;
9540
;  HEX6[1]       ; SW[16]                          ; 5.879 ; 5.841 ; Rise       ; SW[16]                          ;
9541
;  HEX6[2]       ; SW[16]                          ; 5.865 ; 5.867 ; Rise       ; SW[16]                          ;
9542
;  HEX6[3]       ; SW[16]                          ; 6.508 ; 6.528 ; Rise       ; SW[16]                          ;
9543
;  HEX6[4]       ; SW[16]                          ; 5.863 ; 5.832 ; Rise       ; SW[16]                          ;
9544
;  HEX6[5]       ; SW[16]                          ; 7.375 ; 7.102 ; Rise       ; SW[16]                          ;
9545
;  HEX6[6]       ; SW[16]                          ; 6.012 ; 6.035 ; Rise       ; SW[16]                          ;
9546
; HEX7[*]        ; SW[16]                          ; 5.966 ; 5.968 ; Rise       ; SW[16]                          ;
9547
;  HEX7[0]       ; SW[16]                          ; 6.095 ; 6.072 ; Rise       ; SW[16]                          ;
9548
;  HEX7[1]       ; SW[16]                          ; 5.966 ; 5.971 ; Rise       ; SW[16]                          ;
9549
;  HEX7[2]       ; SW[16]                          ; 6.076 ; 6.060 ; Rise       ; SW[16]                          ;
9550
;  HEX7[3]       ; SW[16]                          ; 6.046 ; 6.029 ; Rise       ; SW[16]                          ;
9551
;  HEX7[4]       ; SW[16]                          ; 6.000 ; 5.977 ; Rise       ; SW[16]                          ;
9552
;  HEX7[5]       ; SW[16]                          ; 5.994 ; 5.968 ; Rise       ; SW[16]                          ;
9553
;  HEX7[6]       ; SW[16]                          ; 6.239 ; 6.227 ; Rise       ; SW[16]                          ;
9554
; LEDG[*]        ; SW[16]                          ; 6.664 ; 6.838 ; Rise       ; SW[16]                          ;
9555
;  LEDG[0]       ; SW[16]                          ; 6.666 ; 6.860 ; Rise       ; SW[16]                          ;
9556
;  LEDG[1]       ; SW[16]                          ; 8.635 ; 9.066 ; Rise       ; SW[16]                          ;
9557
;  LEDG[2]       ; SW[16]                          ; 7.552 ; 7.857 ; Rise       ; SW[16]                          ;
9558
;  LEDG[3]       ; SW[16]                          ; 6.908 ; 7.117 ; Rise       ; SW[16]                          ;
9559
;  LEDG[4]       ; SW[16]                          ; 7.258 ; 7.488 ; Rise       ; SW[16]                          ;
9560
;  LEDG[5]       ; SW[16]                          ; 6.664 ; 6.838 ; Rise       ; SW[16]                          ;
9561
;  LEDG[6]       ; SW[16]                          ; 7.206 ; 7.429 ; Rise       ; SW[16]                          ;
9562
;  LEDG[7]       ; SW[16]                          ; 7.398 ; 7.641 ; Rise       ; SW[16]                          ;
9563
; LEDR[*]        ; SW[16]                          ; 6.549 ; 6.720 ; Rise       ; SW[16]                          ;
9564
;  LEDR[0]       ; SW[16]                          ; 6.549 ; 6.720 ; Rise       ; SW[16]                          ;
9565
;  LEDR[1]       ; SW[16]                          ; 8.116 ; 8.443 ; Rise       ; SW[16]                          ;
9566
;  LEDR[2]       ; SW[16]                          ; 8.804 ; 9.310 ; Rise       ; SW[16]                          ;
9567
;  LEDR[3]       ; SW[16]                          ; 7.144 ; 7.423 ; Rise       ; SW[16]                          ;
9568
;  LEDR[4]       ; SW[16]                          ; 6.793 ; 7.001 ; Rise       ; SW[16]                          ;
9569
;  LEDR[5]       ; SW[16]                          ; 7.861 ; 8.155 ; Rise       ; SW[16]                          ;
9570
;  LEDR[6]       ; SW[16]                          ; 7.020 ; 7.272 ; Rise       ; SW[16]                          ;
9571
;  LEDR[7]       ; SW[16]                          ; 7.345 ; 7.578 ; Rise       ; SW[16]                          ;
9572
;  LEDR[8]       ; SW[16]                          ; 7.319 ; 7.560 ; Rise       ; SW[16]                          ;
9573
;  LEDR[9]       ; SW[16]                          ; 7.503 ; 7.746 ; Rise       ; SW[16]                          ;
9574
;  LEDR[10]      ; SW[16]                          ; 7.239 ; 7.483 ; Rise       ; SW[16]                          ;
9575
;  LEDR[11]      ; SW[16]                          ; 7.079 ; 7.302 ; Rise       ; SW[16]                          ;
9576
;  LEDR[12]      ; SW[16]                          ; 7.210 ; 7.448 ; Rise       ; SW[16]                          ;
9577
;  LEDR[13]      ; SW[16]                          ; 7.271 ; 7.516 ; Rise       ; SW[16]                          ;
9578
;  LEDR[14]      ; SW[16]                          ; 7.398 ; 7.664 ; Rise       ; SW[16]                          ;
9579
;  LEDR[15]      ; SW[16]                          ; 8.010 ; 8.296 ; Rise       ; SW[16]                          ;
9580
; SRAM_ADDR[*]   ; SW[16]                          ; 5.219 ; 5.354 ; Rise       ; SW[16]                          ;
9581
;  SRAM_ADDR[0]  ; SW[16]                          ; 5.398 ; 5.564 ; Rise       ; SW[16]                          ;
9582
;  SRAM_ADDR[1]  ; SW[16]                          ; 5.430 ; 5.606 ; Rise       ; SW[16]                          ;
9583
;  SRAM_ADDR[2]  ; SW[16]                          ; 6.144 ; 6.412 ; Rise       ; SW[16]                          ;
9584
;  SRAM_ADDR[3]  ; SW[16]                          ; 5.818 ; 6.027 ; Rise       ; SW[16]                          ;
9585
;  SRAM_ADDR[4]  ; SW[16]                          ; 6.102 ; 6.329 ; Rise       ; SW[16]                          ;
9586
;  SRAM_ADDR[5]  ; SW[16]                          ; 5.885 ; 6.101 ; Rise       ; SW[16]                          ;
9587
;  SRAM_ADDR[6]  ; SW[16]                          ; 5.521 ; 5.700 ; Rise       ; SW[16]                          ;
9588
;  SRAM_ADDR[7]  ; SW[16]                          ; 5.673 ; 5.889 ; Rise       ; SW[16]                          ;
9589
;  SRAM_ADDR[8]  ; SW[16]                          ; 5.887 ; 6.117 ; Rise       ; SW[16]                          ;
9590
;  SRAM_ADDR[9]  ; SW[16]                          ; 6.657 ; 6.571 ; Rise       ; SW[16]                          ;
9591
;  SRAM_ADDR[10] ; SW[16]                          ; 5.890 ; 6.077 ; Rise       ; SW[16]                          ;
9592
;  SRAM_ADDR[11] ; SW[16]                          ; 5.219 ; 5.354 ; Rise       ; SW[16]                          ;
9593
;  SRAM_ADDR[12] ; SW[16]                          ; 7.056 ; 7.048 ; Rise       ; SW[16]                          ;
9594
;  SRAM_ADDR[13] ; SW[16]                          ; 5.518 ; 5.678 ; Rise       ; SW[16]                          ;
9595
;  SRAM_ADDR[14] ; SW[16]                          ; 6.385 ; 6.138 ; Rise       ; SW[16]                          ;
9596
;  SRAM_ADDR[15] ; SW[16]                          ; 8.157 ; 8.169 ; Rise       ; SW[16]                          ;
9597
; SRAM_DQ[*]     ; SW[16]                          ; 6.752 ; 6.910 ; Rise       ; SW[16]                          ;
9598
;  SRAM_DQ[0]    ; SW[16]                          ; 6.875 ; 7.043 ; Rise       ; SW[16]                          ;
9599
;  SRAM_DQ[1]    ; SW[16]                          ; 7.137 ; 7.374 ; Rise       ; SW[16]                          ;
9600
;  SRAM_DQ[2]    ; SW[16]                          ; 8.000 ; 8.345 ; Rise       ; SW[16]                          ;
9601
;  SRAM_DQ[3]    ; SW[16]                          ; 7.402 ; 7.655 ; Rise       ; SW[16]                          ;
9602
;  SRAM_DQ[4]    ; SW[16]                          ; 7.660 ; 7.945 ; Rise       ; SW[16]                          ;
9603
;  SRAM_DQ[5]    ; SW[16]                          ; 7.182 ; 7.411 ; Rise       ; SW[16]                          ;
9604
;  SRAM_DQ[6]    ; SW[16]                          ; 7.731 ; 7.996 ; Rise       ; SW[16]                          ;
9605
;  SRAM_DQ[7]    ; SW[16]                          ; 6.752 ; 6.910 ; Rise       ; SW[16]                          ;
9606
; SRAM_OE_N      ; SW[16]                          ; 6.074 ; 6.146 ; Rise       ; SW[16]                          ;
9607
; SRAM_WE_N      ; SW[16]                          ; 5.993 ; 5.756 ; Rise       ; SW[16]                          ;
9608
; SRAM_OE_N      ; T80se:z80_inst|MREQ_n           ;       ; 4.039 ; Rise       ; T80se:z80_inst|MREQ_n           ;
9609
; SRAM_WE_N      ; T80se:z80_inst|MREQ_n           ;       ; 4.132 ; Rise       ; T80se:z80_inst|MREQ_n           ;
9610
; SRAM_OE_N      ; T80se:z80_inst|MREQ_n           ; 4.017 ;       ; Fall       ; T80se:z80_inst|MREQ_n           ;
9611
; SRAM_WE_N      ; T80se:z80_inst|MREQ_n           ; 4.469 ;       ; Fall       ; T80se:z80_inst|MREQ_n           ;
9612
; VGA_B[*]       ; clk_div:clkdiv_inst|clock_25MHz ; 5.073 ; 5.252 ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
9613
;  VGA_B[4]      ; clk_div:clkdiv_inst|clock_25MHz ; 5.354 ; 5.552 ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
9614
;  VGA_B[5]      ; clk_div:clkdiv_inst|clock_25MHz ; 5.073 ; 5.252 ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
9615
;  VGA_B[6]      ; clk_div:clkdiv_inst|clock_25MHz ; 5.645 ; 5.908 ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
9616
;  VGA_B[7]      ; clk_div:clkdiv_inst|clock_25MHz ; 5.227 ; 5.416 ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
9617
; VGA_CLK        ; clk_div:clkdiv_inst|clock_25MHz ; 2.160 ;       ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
9618
; VGA_HS         ; clk_div:clkdiv_inst|clock_25MHz ; 4.439 ; 4.570 ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
9619
; VGA_VS         ; clk_div:clkdiv_inst|clock_25MHz ; 4.191 ; 4.267 ; Rise       ; clk_div:clkdiv_inst|clock_25MHz ;
9620
; VGA_CLK        ; clk_div:clkdiv_inst|clock_25MHz ;       ; 2.180 ; Fall       ; clk_div:clkdiv_inst|clock_25MHz ;
9621
+----------------+---------------------------------+-------+-------+------------+---------------------------------+
9622
 
9623
 
9624
+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
9625
; Board Trace Model Assignments                                                                                                                                                                                                                                                                                                                                                                                    ;
9626
+---------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+
9627
; Pin           ; I/O Standard ; Near Tline Length ; Near Tline L per Length ; Near Tline C per Length ; Near Series R ; Near Differential R ; Near Pull-up R ; Near Pull-down R ; Near C ; Far Tline Length ; Far Tline L per Length ; Far Tline C per Length ; Far Series R ; Far Pull-up R ; Far Pull-down R ; Far C ; Termination Voltage ; Far Differential R ; EBD File Name ; EBD Signal Name ; EBD Far-end ;
9628
+---------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+
9629
; HEX0[0]       ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9630
; HEX0[1]       ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9631
; HEX0[2]       ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9632
; HEX0[3]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9633
; HEX0[4]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9634
; HEX0[5]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9635
; HEX0[6]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9636
; HEX1[0]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9637
; HEX1[1]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9638
; HEX1[2]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9639
; HEX1[3]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9640
; HEX1[4]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9641
; HEX1[5]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9642
; HEX1[6]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9643
; HEX2[0]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9644
; HEX2[1]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9645
; HEX2[2]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9646
; HEX2[3]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9647
; HEX2[4]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9648
; HEX2[5]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9649
; HEX2[6]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9650
; HEX3[0]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9651
; HEX3[1]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9652
; HEX3[2]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9653
; HEX3[3]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9654
; HEX3[4]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9655
; HEX3[5]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9656
; HEX3[6]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9657
; HEX4[0]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9658
; HEX4[1]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9659
; HEX4[2]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9660
; HEX4[3]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9661
; HEX4[4]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9662
; HEX4[5]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9663
; HEX4[6]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9664
; HEX5[0]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9665
; HEX5[1]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9666
; HEX5[2]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9667
; HEX5[3]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9668
; HEX5[4]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9669
; HEX5[5]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9670
; HEX5[6]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9671
; HEX6[0]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9672
; HEX6[1]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9673
; HEX6[2]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9674
; HEX6[3]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9675
; HEX6[4]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9676
; HEX6[5]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9677
; HEX6[6]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9678
; HEX7[0]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9679
; HEX7[1]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9680
; HEX7[2]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9681
; HEX7[3]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9682
; HEX7[4]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9683
; HEX7[5]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9684
; HEX7[6]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9685
; LEDG[0]       ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9686
; LEDG[1]       ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9687
; LEDG[2]       ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9688
; LEDG[3]       ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9689
; LEDG[4]       ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9690
; LEDG[5]       ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9691
; LEDG[6]       ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9692
; LEDG[7]       ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9693
; LEDG[8]       ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9694
; LEDR[0]       ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9695
; LEDR[1]       ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9696
; LEDR[2]       ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9697
; LEDR[3]       ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9698
; LEDR[4]       ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9699
; LEDR[5]       ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9700
; LEDR[6]       ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9701
; LEDR[7]       ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9702
; LEDR[8]       ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9703
; LEDR[9]       ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9704
; LEDR[10]      ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9705
; LEDR[11]      ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9706
; LEDR[12]      ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9707
; LEDR[13]      ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9708
; LEDR[14]      ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9709
; LEDR[15]      ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9710
; LEDR[16]      ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9711
; LEDR[17]      ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9712
; UART_TXD      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9713
; DRAM_BA_0     ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9714
; DRAM_BA_1     ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9715
; DRAM_DQM_0    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9716
; DRAM_DQM_1    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9717
; DRAM_DQM_2    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9718
; DRAM_DQM_3    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9719
; DRAM_WE_N     ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9720
; DRAM_CAS_N    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9721
; DRAM_RAS_N    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9722
; DRAM_CS_N     ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9723
; DRAM_ADDR[0]  ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9724
; DRAM_ADDR[1]  ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9725
; DRAM_ADDR[2]  ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9726
; DRAM_ADDR[3]  ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9727
; DRAM_ADDR[4]  ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9728
; DRAM_ADDR[5]  ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9729
; DRAM_ADDR[6]  ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9730
; DRAM_ADDR[7]  ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9731
; DRAM_ADDR[8]  ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9732
; DRAM_ADDR[9]  ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9733
; DRAM_ADDR[10] ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9734
; DRAM_ADDR[11] ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9735
; DRAM_ADDR[12] ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9736
; DRAM_CLK      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9737
; DRAM_CKE      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9738
; FL_ADDR[0]    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9739
; FL_ADDR[1]    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9740
; FL_ADDR[2]    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9741
; FL_ADDR[3]    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9742
; FL_ADDR[4]    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9743
; FL_ADDR[5]    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9744
; FL_ADDR[6]    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9745
; FL_ADDR[7]    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9746
; FL_ADDR[8]    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9747
; FL_ADDR[9]    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9748
; FL_ADDR[10]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9749
; FL_ADDR[11]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9750
; FL_ADDR[12]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9751
; FL_ADDR[13]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9752
; FL_ADDR[14]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9753
; FL_ADDR[15]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9754
; FL_ADDR[16]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9755
; FL_ADDR[17]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9756
; FL_ADDR[18]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9757
; FL_ADDR[19]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9758
; FL_ADDR[20]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9759
; FL_ADDR[21]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9760
; FL_ADDR[22]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9761
; FL_WP_N       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9762
; FL_WE_N       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9763
; FL_RST_N      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9764
; FL_OE_N       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9765
; FL_CE_N       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9766
; SRAM_ADDR[0]  ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9767
; SRAM_ADDR[1]  ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9768
; SRAM_ADDR[2]  ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9769
; SRAM_ADDR[3]  ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9770
; SRAM_ADDR[4]  ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9771
; SRAM_ADDR[5]  ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9772
; SRAM_ADDR[6]  ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9773
; SRAM_ADDR[7]  ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9774
; SRAM_ADDR[8]  ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9775
; SRAM_ADDR[9]  ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9776
; SRAM_ADDR[10] ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9777
; SRAM_ADDR[11] ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9778
; SRAM_ADDR[12] ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9779
; SRAM_ADDR[13] ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9780
; SRAM_ADDR[14] ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9781
; SRAM_ADDR[15] ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9782
; SRAM_ADDR[16] ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9783
; SRAM_ADDR[17] ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9784
; SRAM_ADDR[18] ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9785
; SRAM_ADDR[19] ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9786
; SRAM_UB_N     ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9787
; SRAM_LB_N     ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9788
; SRAM_WE_N     ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9789
; SRAM_CE_N     ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9790
; SRAM_OE_N     ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9791
; SD_DAT3       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9792
; SD_CMD        ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9793
; SD_CLK        ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9794
; VGA_SYNC_N    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9795
; VGA_CLK       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9796
; VGA_BLANK_N   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9797
; VGA_HS        ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9798
; VGA_VS        ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9799
; VGA_R[0]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9800
; VGA_R[1]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9801
; VGA_R[2]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9802
; VGA_R[3]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9803
; VGA_R[4]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9804
; VGA_R[5]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9805
; VGA_R[6]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9806
; VGA_R[7]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9807
; VGA_G[0]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9808
; VGA_G[1]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9809
; VGA_G[2]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9810
; VGA_G[3]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9811
; VGA_G[4]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9812
; VGA_G[5]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9813
; VGA_G[6]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9814
; VGA_G[7]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9815
; VGA_B[0]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9816
; VGA_B[1]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9817
; VGA_B[2]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9818
; VGA_B[3]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9819
; VGA_B[4]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9820
; VGA_B[5]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9821
; VGA_B[6]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9822
; VGA_B[7]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9823
; AUD_DACDAT    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9824
; AUD_XCK       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9825
; LCD_RS        ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9826
; LCD_EN        ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9827
; LCD_RW        ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9828
; LCD_ON        ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9829
; LCD_BLON      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9830
; SD_DAT1       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9831
; SD_DAT2       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9832
; PS2_DAT2      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9833
; PS2_CLK2      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9834
; DRAM_DQ[0]    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9835
; DRAM_DQ[1]    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9836
; DRAM_DQ[2]    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9837
; DRAM_DQ[3]    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9838
; DRAM_DQ[4]    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9839
; DRAM_DQ[5]    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9840
; DRAM_DQ[6]    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9841
; DRAM_DQ[7]    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9842
; DRAM_DQ[8]    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9843
; DRAM_DQ[9]    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9844
; DRAM_DQ[10]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9845
; DRAM_DQ[11]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9846
; DRAM_DQ[12]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9847
; DRAM_DQ[13]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9848
; DRAM_DQ[14]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9849
; DRAM_DQ[15]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9850
; DRAM_DQ[16]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9851
; DRAM_DQ[17]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9852
; DRAM_DQ[18]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9853
; DRAM_DQ[19]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9854
; DRAM_DQ[20]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9855
; DRAM_DQ[21]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9856
; DRAM_DQ[22]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9857
; DRAM_DQ[23]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9858
; DRAM_DQ[24]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9859
; DRAM_DQ[25]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9860
; DRAM_DQ[26]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9861
; DRAM_DQ[27]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9862
; DRAM_DQ[28]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9863
; DRAM_DQ[29]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9864
; DRAM_DQ[30]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9865
; DRAM_DQ[31]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9866
; FL_DQ[0]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9867
; FL_DQ[1]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9868
; FL_DQ[2]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9869
; FL_DQ[3]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9870
; FL_DQ[4]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9871
; FL_DQ[5]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9872
; FL_DQ[6]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9873
; FL_DQ[7]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9874
; SRAM_DQ[0]    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9875
; SRAM_DQ[1]    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9876
; SRAM_DQ[2]    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9877
; SRAM_DQ[3]    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9878
; SRAM_DQ[4]    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9879
; SRAM_DQ[5]    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9880
; SRAM_DQ[6]    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9881
; SRAM_DQ[7]    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9882
; SRAM_DQ[8]    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9883
; SRAM_DQ[9]    ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9884
; SRAM_DQ[10]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9885
; SRAM_DQ[11]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9886
; SRAM_DQ[12]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9887
; SRAM_DQ[13]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9888
; SRAM_DQ[14]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9889
; SRAM_DQ[15]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9890
; PS2_DAT       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9891
; PS2_CLK       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9892
; AUD_ADCLRCK   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9893
; AUD_DACLRCK   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9894
; AUD_BCLK      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9895
; LCD_DATA[0]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9896
; LCD_DATA[1]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9897
; LCD_DATA[2]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9898
; LCD_DATA[3]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9899
; LCD_DATA[4]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9900
; LCD_DATA[5]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9901
; LCD_DATA[6]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9902
; LCD_DATA[7]   ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9903
; ~ALTERA_DCLK~ ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9904
; ~ALTERA_nCEO~ ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
9905
+---------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+
9906
 
9907
 
9908
+----------------------------------------------------------------------------+
9909
; Input Transition Times                                                     ;
9910
+-------------------------+--------------+-----------------+-----------------+
9911
; Pin                     ; I/O Standard ; 10-90 Rise Time ; 90-10 Fall Time ;
9912
+-------------------------+--------------+-----------------+-----------------+
9913
; UART_RXD                ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9914
; UART_RTS                ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9915
; UART_CTS                ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9916
; FL_RY                   ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9917
; SD_DAT0                 ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9918
; AUD_ADCDAT              ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9919
; SD_DAT1                 ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9920
; SD_DAT2                 ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9921
; PS2_DAT2                ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9922
; PS2_CLK2                ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9923
; DRAM_DQ[0]              ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9924
; DRAM_DQ[1]              ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9925
; DRAM_DQ[2]              ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9926
; DRAM_DQ[3]              ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9927
; DRAM_DQ[4]              ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9928
; DRAM_DQ[5]              ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9929
; DRAM_DQ[6]              ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9930
; DRAM_DQ[7]              ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9931
; DRAM_DQ[8]              ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9932
; DRAM_DQ[9]              ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9933
; DRAM_DQ[10]             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9934
; DRAM_DQ[11]             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9935
; DRAM_DQ[12]             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9936
; DRAM_DQ[13]             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9937
; DRAM_DQ[14]             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9938
; DRAM_DQ[15]             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9939
; DRAM_DQ[16]             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9940
; DRAM_DQ[17]             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9941
; DRAM_DQ[18]             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9942
; DRAM_DQ[19]             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9943
; DRAM_DQ[20]             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9944
; DRAM_DQ[21]             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9945
; DRAM_DQ[22]             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9946
; DRAM_DQ[23]             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9947
; DRAM_DQ[24]             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9948
; DRAM_DQ[25]             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9949
; DRAM_DQ[26]             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9950
; DRAM_DQ[27]             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9951
; DRAM_DQ[28]             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9952
; DRAM_DQ[29]             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9953
; DRAM_DQ[30]             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9954
; DRAM_DQ[31]             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9955
; FL_DQ[0]                ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9956
; FL_DQ[1]                ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9957
; FL_DQ[2]                ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9958
; FL_DQ[3]                ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9959
; FL_DQ[4]                ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9960
; FL_DQ[5]                ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9961
; FL_DQ[6]                ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9962
; FL_DQ[7]                ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9963
; SRAM_DQ[0]              ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9964
; SRAM_DQ[1]              ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9965
; SRAM_DQ[2]              ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9966
; SRAM_DQ[3]              ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9967
; SRAM_DQ[4]              ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9968
; SRAM_DQ[5]              ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9969
; SRAM_DQ[6]              ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9970
; SRAM_DQ[7]              ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9971
; SRAM_DQ[8]              ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9972
; SRAM_DQ[9]              ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9973
; SRAM_DQ[10]             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9974
; SRAM_DQ[11]             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9975
; SRAM_DQ[12]             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9976
; SRAM_DQ[13]             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9977
; SRAM_DQ[14]             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9978
; SRAM_DQ[15]             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9979
; PS2_DAT                 ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9980
; PS2_CLK                 ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9981
; AUD_ADCLRCK             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9982
; AUD_DACLRCK             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9983
; AUD_BCLK                ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9984
; LCD_DATA[0]             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9985
; LCD_DATA[1]             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9986
; LCD_DATA[2]             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9987
; LCD_DATA[3]             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9988
; LCD_DATA[4]             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9989
; LCD_DATA[5]             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9990
; LCD_DATA[6]             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9991
; LCD_DATA[7]             ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9992
; SW[17]                  ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9993
; CLOCK_50                ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9994
; SW[16]                  ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9995
; SW[1]                   ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9996
; SW[9]                   ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9997
; KEY[1]                  ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9998
; SW[8]                   ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
9999
; SW[0]                   ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
10000
; KEY[0]                  ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
10001
; SW[15]                  ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
10002
; SW[7]                   ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
10003
; SW[14]                  ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
10004
; SW[6]                   ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
10005
; SW[10]                  ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
10006
; SW[2]                   ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
10007
; KEY[2]                  ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
10008
; SW[13]                  ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
10009
; SW[5]                   ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
10010
; SW[12]                  ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
10011
; SW[4]                   ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
10012
; SW[3]                   ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
10013
; SW[11]                  ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
10014
; KEY[3]                  ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
10015
; ~ALTERA_ASDO_DATA1~     ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
10016
; ~ALTERA_FLASH_nCE_nCSO~ ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
10017
; ~ALTERA_DATA0~          ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
10018
+-------------------------+--------------+-----------------+-----------------+
10019
 
10020
 
10021
+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
10022
; Signal Integrity Metrics (Slow 1200mv 0c Model)                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                            ;
10023
+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
10024
; Pin           ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ;
10025
+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
10026
; HEX0[0]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.49e-09 V                   ; 2.38 V              ; -0.00552 V          ; 0.096 V                              ; 0.019 V                              ; 4.18e-10 s                  ; 3.59e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 4.49e-09 V                  ; 2.38 V             ; -0.00552 V         ; 0.096 V                             ; 0.019 V                             ; 4.18e-10 s                 ; 3.59e-10 s                 ; No                        ; Yes                       ;
10027
; HEX0[1]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.49e-09 V                   ; 2.33 V              ; -0.00467 V          ; 0.226 V                              ; 0.087 V                              ; 2.91e-09 s                  ; 2.74e-09 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 4.49e-09 V                  ; 2.33 V             ; -0.00467 V         ; 0.226 V                             ; 0.087 V                             ; 2.91e-09 s                 ; 2.74e-09 s                 ; Yes                       ; Yes                       ;
10028
; HEX0[2]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.49e-09 V                   ; 2.38 V              ; -0.00552 V          ; 0.096 V                              ; 0.019 V                              ; 4.18e-10 s                  ; 3.59e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 4.49e-09 V                  ; 2.38 V             ; -0.00552 V         ; 0.096 V                             ; 0.019 V                             ; 4.18e-10 s                 ; 3.59e-10 s                 ; No                        ; Yes                       ;
10029
; HEX0[3]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.14 V              ; -0.118 V            ; 0.311 V                              ; 0.245 V                              ; 5.04e-10 s                  ; 4.36e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.14 V             ; -0.118 V           ; 0.311 V                             ; 0.245 V                             ; 5.04e-10 s                 ; 4.36e-10 s                 ; No                        ; No                        ;
10030
; HEX0[4]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.14 V              ; -0.118 V            ; 0.311 V                              ; 0.245 V                              ; 5.04e-10 s                  ; 4.36e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.14 V             ; -0.118 V           ; 0.311 V                             ; 0.245 V                             ; 5.04e-10 s                 ; 4.36e-10 s                 ; No                        ; No                        ;
10031
; HEX0[5]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.09 V              ; -0.0117 V           ; 0.268 V                              ; 0.262 V                              ; 4.74e-09 s                  ; 3.5e-09 s                   ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.09 V             ; -0.0117 V          ; 0.268 V                             ; 0.262 V                             ; 4.74e-09 s                 ; 3.5e-09 s                  ; No                        ; No                        ;
10032
; HEX0[6]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.14 V              ; -0.118 V            ; 0.311 V                              ; 0.245 V                              ; 5.04e-10 s                  ; 4.36e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.14 V             ; -0.118 V           ; 0.311 V                             ; 0.245 V                             ; 5.04e-10 s                 ; 4.36e-10 s                 ; No                        ; No                        ;
10033
; HEX1[0]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.14 V              ; -0.118 V            ; 0.311 V                              ; 0.245 V                              ; 5.04e-10 s                  ; 4.36e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.14 V             ; -0.118 V           ; 0.311 V                             ; 0.245 V                             ; 5.04e-10 s                 ; 4.36e-10 s                 ; No                        ; No                        ;
10034
; HEX1[1]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10035
; HEX1[2]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10036
; HEX1[3]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10037
; HEX1[4]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10038
; HEX1[5]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.09 V              ; -0.0117 V           ; 0.268 V                              ; 0.262 V                              ; 4.74e-09 s                  ; 3.5e-09 s                   ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.09 V             ; -0.0117 V          ; 0.268 V                             ; 0.262 V                             ; 4.74e-09 s                 ; 3.5e-09 s                  ; No                        ; No                        ;
10039
; HEX1[6]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.09 V              ; -0.0117 V           ; 0.268 V                              ; 0.262 V                              ; 4.74e-09 s                  ; 3.5e-09 s                   ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.09 V             ; -0.0117 V          ; 0.268 V                             ; 0.262 V                             ; 4.74e-09 s                 ; 3.5e-09 s                  ; No                        ; No                        ;
10040
; HEX2[0]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10041
; HEX2[1]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10042
; HEX2[2]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10043
; HEX2[3]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10044
; HEX2[4]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10045
; HEX2[5]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10046
; HEX2[6]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10047
; HEX3[0]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10048
; HEX3[1]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.14 V              ; -0.118 V            ; 0.311 V                              ; 0.245 V                              ; 5.04e-10 s                  ; 4.36e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.14 V             ; -0.118 V           ; 0.311 V                             ; 0.245 V                             ; 5.04e-10 s                 ; 4.36e-10 s                 ; No                        ; No                        ;
10049
; HEX3[2]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.09 V              ; -0.012 V            ; 0.232 V                              ; 0.268 V                              ; 4.75e-09 s                  ; 3.5e-09 s                   ; Yes                        ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.09 V             ; -0.012 V           ; 0.232 V                             ; 0.268 V                             ; 4.75e-09 s                 ; 3.5e-09 s                  ; Yes                       ; No                        ;
10050
; HEX3[3]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10051
; HEX3[4]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10052
; HEX3[5]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10053
; HEX3[6]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10054
; HEX4[0]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10055
; HEX4[1]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10056
; HEX4[2]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10057
; HEX4[3]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10058
; HEX4[4]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10059
; HEX4[5]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10060
; HEX4[6]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10061
; HEX5[0]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10062
; HEX5[1]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.09 V              ; -0.012 V            ; 0.232 V                              ; 0.268 V                              ; 4.75e-09 s                  ; 3.5e-09 s                   ; Yes                        ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.09 V             ; -0.012 V           ; 0.232 V                             ; 0.268 V                             ; 4.75e-09 s                 ; 3.5e-09 s                  ; Yes                       ; No                        ;
10063
; HEX5[2]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10064
; HEX5[3]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10065
; HEX5[4]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10066
; HEX5[5]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10067
; HEX5[6]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10068
; HEX6[0]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10069
; HEX6[1]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10070
; HEX6[2]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10071
; HEX6[3]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10072
; HEX6[4]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10073
; HEX6[5]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.09 V              ; -0.012 V            ; 0.232 V                              ; 0.268 V                              ; 4.75e-09 s                  ; 3.5e-09 s                   ; Yes                        ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.09 V             ; -0.012 V           ; 0.232 V                             ; 0.268 V                             ; 4.75e-09 s                 ; 3.5e-09 s                  ; Yes                       ; No                        ;
10074
; HEX6[6]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10075
; HEX7[0]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10076
; HEX7[1]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10077
; HEX7[2]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10078
; HEX7[3]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10079
; HEX7[4]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10080
; HEX7[5]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10081
; HEX7[6]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10082
; LEDG[0]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.49e-09 V                   ; 2.38 V              ; -0.00552 V          ; 0.096 V                              ; 0.019 V                              ; 4.18e-10 s                  ; 3.59e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 4.49e-09 V                  ; 2.38 V             ; -0.00552 V         ; 0.096 V                             ; 0.019 V                             ; 4.18e-10 s                 ; 3.59e-10 s                 ; No                        ; Yes                       ;
10083
; LEDG[1]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.49e-09 V                   ; 2.38 V              ; -0.00552 V          ; 0.096 V                              ; 0.019 V                              ; 4.18e-10 s                  ; 3.59e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 4.49e-09 V                  ; 2.38 V             ; -0.00552 V         ; 0.096 V                             ; 0.019 V                             ; 4.18e-10 s                 ; 3.59e-10 s                 ; No                        ; Yes                       ;
10084
; LEDG[2]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.49e-09 V                   ; 2.38 V              ; -0.00552 V          ; 0.096 V                              ; 0.019 V                              ; 4.18e-10 s                  ; 3.59e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 4.49e-09 V                  ; 2.38 V             ; -0.00552 V         ; 0.096 V                             ; 0.019 V                             ; 4.18e-10 s                 ; 3.59e-10 s                 ; No                        ; Yes                       ;
10085
; LEDG[3]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.49e-09 V                   ; 2.38 V              ; -0.00552 V          ; 0.096 V                              ; 0.019 V                              ; 4.18e-10 s                  ; 3.59e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 4.49e-09 V                  ; 2.38 V             ; -0.00552 V         ; 0.096 V                             ; 0.019 V                             ; 4.18e-10 s                 ; 3.59e-10 s                 ; No                        ; Yes                       ;
10086
; LEDG[4]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.49e-09 V                   ; 2.38 V              ; -0.00552 V          ; 0.096 V                              ; 0.019 V                              ; 4.18e-10 s                  ; 3.59e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 4.49e-09 V                  ; 2.38 V             ; -0.00552 V         ; 0.096 V                             ; 0.019 V                             ; 4.18e-10 s                 ; 3.59e-10 s                 ; No                        ; Yes                       ;
10087
; LEDG[5]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.49e-09 V                   ; 2.38 V              ; -0.00552 V          ; 0.096 V                              ; 0.019 V                              ; 4.18e-10 s                  ; 3.59e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 4.49e-09 V                  ; 2.38 V             ; -0.00552 V         ; 0.096 V                             ; 0.019 V                             ; 4.18e-10 s                 ; 3.59e-10 s                 ; No                        ; Yes                       ;
10088
; LEDG[6]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.49e-09 V                   ; 2.38 V              ; -0.00552 V          ; 0.096 V                              ; 0.019 V                              ; 4.18e-10 s                  ; 3.59e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 4.49e-09 V                  ; 2.38 V             ; -0.00552 V         ; 0.096 V                             ; 0.019 V                             ; 4.18e-10 s                 ; 3.59e-10 s                 ; No                        ; Yes                       ;
10089
; LEDG[7]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.49e-09 V                   ; 2.38 V              ; -0.00552 V          ; 0.096 V                              ; 0.019 V                              ; 4.18e-10 s                  ; 3.59e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 4.49e-09 V                  ; 2.38 V             ; -0.00552 V         ; 0.096 V                             ; 0.019 V                             ; 4.18e-10 s                 ; 3.59e-10 s                 ; No                        ; Yes                       ;
10090
; LEDG[8]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.49e-09 V                   ; 2.38 V              ; -0.00552 V          ; 0.096 V                              ; 0.019 V                              ; 4.18e-10 s                  ; 3.59e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 4.49e-09 V                  ; 2.38 V             ; -0.00552 V         ; 0.096 V                             ; 0.019 V                             ; 4.18e-10 s                 ; 3.59e-10 s                 ; No                        ; Yes                       ;
10091
; LEDR[0]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.49e-09 V                   ; 2.38 V              ; -0.00552 V          ; 0.096 V                              ; 0.019 V                              ; 4.18e-10 s                  ; 3.59e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 4.49e-09 V                  ; 2.38 V             ; -0.00552 V         ; 0.096 V                             ; 0.019 V                             ; 4.18e-10 s                 ; 3.59e-10 s                 ; No                        ; Yes                       ;
10092
; LEDR[1]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.49e-09 V                   ; 2.38 V              ; -0.00552 V          ; 0.096 V                              ; 0.019 V                              ; 4.18e-10 s                  ; 3.59e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 4.49e-09 V                  ; 2.38 V             ; -0.00552 V         ; 0.096 V                             ; 0.019 V                             ; 4.18e-10 s                 ; 3.59e-10 s                 ; No                        ; Yes                       ;
10093
; LEDR[2]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.49e-09 V                   ; 2.38 V              ; -0.00552 V          ; 0.096 V                              ; 0.019 V                              ; 4.18e-10 s                  ; 3.59e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 4.49e-09 V                  ; 2.38 V             ; -0.00552 V         ; 0.096 V                             ; 0.019 V                             ; 4.18e-10 s                 ; 3.59e-10 s                 ; No                        ; Yes                       ;
10094
; LEDR[3]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.49e-09 V                   ; 2.38 V              ; -0.00552 V          ; 0.096 V                              ; 0.019 V                              ; 4.18e-10 s                  ; 3.59e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 4.49e-09 V                  ; 2.38 V             ; -0.00552 V         ; 0.096 V                             ; 0.019 V                             ; 4.18e-10 s                 ; 3.59e-10 s                 ; No                        ; Yes                       ;
10095
; LEDR[4]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.49e-09 V                   ; 2.38 V              ; -0.00552 V          ; 0.096 V                              ; 0.019 V                              ; 4.18e-10 s                  ; 3.59e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 4.49e-09 V                  ; 2.38 V             ; -0.00552 V         ; 0.096 V                             ; 0.019 V                             ; 4.18e-10 s                 ; 3.59e-10 s                 ; No                        ; Yes                       ;
10096
; LEDR[5]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.49e-09 V                   ; 2.38 V              ; -0.00552 V          ; 0.096 V                              ; 0.019 V                              ; 4.18e-10 s                  ; 3.59e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 4.49e-09 V                  ; 2.38 V             ; -0.00552 V         ; 0.096 V                             ; 0.019 V                             ; 4.18e-10 s                 ; 3.59e-10 s                 ; No                        ; Yes                       ;
10097
; LEDR[6]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.49e-09 V                   ; 2.38 V              ; -0.00552 V          ; 0.096 V                              ; 0.019 V                              ; 4.18e-10 s                  ; 3.59e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 4.49e-09 V                  ; 2.38 V             ; -0.00552 V         ; 0.096 V                             ; 0.019 V                             ; 4.18e-10 s                 ; 3.59e-10 s                 ; No                        ; Yes                       ;
10098
; LEDR[7]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.49e-09 V                   ; 2.38 V              ; -0.00552 V          ; 0.096 V                              ; 0.019 V                              ; 4.18e-10 s                  ; 3.59e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 4.49e-09 V                  ; 2.38 V             ; -0.00552 V         ; 0.096 V                             ; 0.019 V                             ; 4.18e-10 s                 ; 3.59e-10 s                 ; No                        ; Yes                       ;
10099
; LEDR[8]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.49e-09 V                   ; 2.38 V              ; -0.00552 V          ; 0.096 V                              ; 0.019 V                              ; 4.18e-10 s                  ; 3.59e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 4.49e-09 V                  ; 2.38 V             ; -0.00552 V         ; 0.096 V                             ; 0.019 V                             ; 4.18e-10 s                 ; 3.59e-10 s                 ; No                        ; Yes                       ;
10100
; LEDR[9]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.49e-09 V                   ; 2.33 V              ; -0.00467 V          ; 0.226 V                              ; 0.087 V                              ; 2.91e-09 s                  ; 2.74e-09 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 4.49e-09 V                  ; 2.33 V             ; -0.00467 V         ; 0.226 V                             ; 0.087 V                             ; 2.91e-09 s                 ; 2.74e-09 s                 ; Yes                       ; Yes                       ;
10101
; LEDR[10]      ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.49e-09 V                   ; 2.38 V              ; -0.00552 V          ; 0.096 V                              ; 0.019 V                              ; 4.18e-10 s                  ; 3.59e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 4.49e-09 V                  ; 2.38 V             ; -0.00552 V         ; 0.096 V                             ; 0.019 V                             ; 4.18e-10 s                 ; 3.59e-10 s                 ; No                        ; Yes                       ;
10102
; LEDR[11]      ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.49e-09 V                   ; 2.38 V              ; -0.00552 V          ; 0.096 V                              ; 0.019 V                              ; 4.18e-10 s                  ; 3.59e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 4.49e-09 V                  ; 2.38 V             ; -0.00552 V         ; 0.096 V                             ; 0.019 V                             ; 4.18e-10 s                 ; 3.59e-10 s                 ; No                        ; Yes                       ;
10103
; LEDR[12]      ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.49e-09 V                   ; 2.38 V              ; -0.00552 V          ; 0.096 V                              ; 0.019 V                              ; 4.18e-10 s                  ; 3.59e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 4.49e-09 V                  ; 2.38 V             ; -0.00552 V         ; 0.096 V                             ; 0.019 V                             ; 4.18e-10 s                 ; 3.59e-10 s                 ; No                        ; Yes                       ;
10104
; LEDR[13]      ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.49e-09 V                   ; 2.38 V              ; -0.00552 V          ; 0.096 V                              ; 0.019 V                              ; 4.18e-10 s                  ; 3.59e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 4.49e-09 V                  ; 2.38 V             ; -0.00552 V         ; 0.096 V                             ; 0.019 V                             ; 4.18e-10 s                 ; 3.59e-10 s                 ; No                        ; Yes                       ;
10105
; LEDR[14]      ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.49e-09 V                   ; 2.38 V              ; -0.00552 V          ; 0.096 V                              ; 0.019 V                              ; 4.18e-10 s                  ; 3.59e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 4.49e-09 V                  ; 2.38 V             ; -0.00552 V         ; 0.096 V                             ; 0.019 V                             ; 4.18e-10 s                 ; 3.59e-10 s                 ; No                        ; Yes                       ;
10106
; LEDR[15]      ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.49e-09 V                   ; 2.33 V              ; -0.00467 V          ; 0.226 V                              ; 0.087 V                              ; 2.91e-09 s                  ; 2.74e-09 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 4.49e-09 V                  ; 2.33 V             ; -0.00467 V         ; 0.226 V                             ; 0.087 V                             ; 2.91e-09 s                 ; 2.74e-09 s                 ; Yes                       ; Yes                       ;
10107
; LEDR[16]      ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.49e-09 V                   ; 2.38 V              ; -0.00552 V          ; 0.096 V                              ; 0.019 V                              ; 4.18e-10 s                  ; 3.59e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 4.49e-09 V                  ; 2.38 V             ; -0.00552 V         ; 0.096 V                             ; 0.019 V                             ; 4.18e-10 s                 ; 3.59e-10 s                 ; No                        ; Yes                       ;
10108
; LEDR[17]      ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.49e-09 V                   ; 2.38 V              ; -0.00552 V          ; 0.096 V                              ; 0.019 V                              ; 4.18e-10 s                  ; 3.59e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 4.49e-09 V                  ; 2.38 V             ; -0.00552 V         ; 0.096 V                             ; 0.019 V                             ; 4.18e-10 s                 ; 3.59e-10 s                 ; No                        ; Yes                       ;
10109
; UART_TXD      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.09 V              ; -0.012 V            ; 0.232 V                              ; 0.268 V                              ; 4.75e-09 s                  ; 3.5e-09 s                   ; Yes                        ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.09 V             ; -0.012 V           ; 0.232 V                             ; 0.268 V                             ; 4.75e-09 s                 ; 3.5e-09 s                  ; Yes                       ; No                        ;
10110
; DRAM_BA_0     ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.14 V              ; -0.118 V            ; 0.311 V                              ; 0.245 V                              ; 5.04e-10 s                  ; 4.36e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.14 V             ; -0.118 V           ; 0.311 V                             ; 0.245 V                             ; 5.04e-10 s                 ; 4.36e-10 s                 ; No                        ; No                        ;
10111
; DRAM_BA_1     ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10112
; DRAM_DQM_0    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10113
; DRAM_DQM_1    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10114
; DRAM_DQM_2    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10115
; DRAM_DQM_3    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.14 V              ; -0.118 V            ; 0.311 V                              ; 0.245 V                              ; 5.04e-10 s                  ; 4.36e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.14 V             ; -0.118 V           ; 0.311 V                             ; 0.245 V                             ; 5.04e-10 s                 ; 4.36e-10 s                 ; No                        ; No                        ;
10116
; DRAM_WE_N     ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10117
; DRAM_CAS_N    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10118
; DRAM_RAS_N    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10119
; DRAM_CS_N     ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10120
; DRAM_ADDR[0]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10121
; DRAM_ADDR[1]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10122
; DRAM_ADDR[2]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.14 V              ; -0.118 V            ; 0.311 V                              ; 0.245 V                              ; 5.04e-10 s                  ; 4.36e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.14 V             ; -0.118 V           ; 0.311 V                             ; 0.245 V                             ; 5.04e-10 s                 ; 4.36e-10 s                 ; No                        ; No                        ;
10123
; DRAM_ADDR[3]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10124
; DRAM_ADDR[4]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10125
; DRAM_ADDR[5]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10126
; DRAM_ADDR[6]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.14 V              ; -0.118 V            ; 0.311 V                              ; 0.245 V                              ; 5.04e-10 s                  ; 4.36e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.14 V             ; -0.118 V           ; 0.311 V                             ; 0.245 V                             ; 5.04e-10 s                 ; 4.36e-10 s                 ; No                        ; No                        ;
10127
; DRAM_ADDR[7]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.14 V              ; -0.118 V            ; 0.311 V                              ; 0.245 V                              ; 5.04e-10 s                  ; 4.36e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.14 V             ; -0.118 V           ; 0.311 V                             ; 0.245 V                             ; 5.04e-10 s                 ; 4.36e-10 s                 ; No                        ; No                        ;
10128
; DRAM_ADDR[8]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10129
; DRAM_ADDR[9]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10130
; DRAM_ADDR[10] ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.14 V              ; -0.118 V            ; 0.311 V                              ; 0.245 V                              ; 5.04e-10 s                  ; 4.36e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.14 V             ; -0.118 V           ; 0.311 V                             ; 0.245 V                             ; 5.04e-10 s                 ; 4.36e-10 s                 ; No                        ; No                        ;
10131
; DRAM_ADDR[11] ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10132
; DRAM_ADDR[12] ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10133
; DRAM_CLK      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10134
; DRAM_CKE      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10135
; FL_ADDR[0]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10136
; FL_ADDR[1]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10137
; FL_ADDR[2]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10138
; FL_ADDR[3]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10139
; FL_ADDR[4]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10140
; FL_ADDR[5]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10141
; FL_ADDR[6]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10142
; FL_ADDR[7]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.09 V              ; -0.012 V            ; 0.232 V                              ; 0.268 V                              ; 4.75e-09 s                  ; 3.5e-09 s                   ; Yes                        ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.09 V             ; -0.012 V           ; 0.232 V                             ; 0.268 V                             ; 4.75e-09 s                 ; 3.5e-09 s                  ; Yes                       ; No                        ;
10143
; FL_ADDR[8]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10144
; FL_ADDR[9]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10145
; FL_ADDR[10]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10146
; FL_ADDR[11]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10147
; FL_ADDR[12]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10148
; FL_ADDR[13]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10149
; FL_ADDR[14]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10150
; FL_ADDR[15]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.09 V              ; -0.012 V            ; 0.232 V                              ; 0.268 V                              ; 4.75e-09 s                  ; 3.5e-09 s                   ; Yes                        ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.09 V             ; -0.012 V           ; 0.232 V                             ; 0.268 V                             ; 4.75e-09 s                 ; 3.5e-09 s                  ; Yes                       ; No                        ;
10151
; FL_ADDR[16]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10152
; FL_ADDR[17]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10153
; FL_ADDR[18]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10154
; FL_ADDR[19]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10155
; FL_ADDR[20]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10156
; FL_ADDR[21]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10157
; FL_ADDR[22]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10158
; FL_WP_N       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10159
; FL_WE_N       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10160
; FL_RST_N      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10161
; FL_OE_N       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10162
; FL_CE_N       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10163
; SRAM_ADDR[0]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10164
; SRAM_ADDR[1]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10165
; SRAM_ADDR[2]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10166
; SRAM_ADDR[3]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10167
; SRAM_ADDR[4]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10168
; SRAM_ADDR[5]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10169
; SRAM_ADDR[6]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10170
; SRAM_ADDR[7]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10171
; SRAM_ADDR[8]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10172
; SRAM_ADDR[9]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.09 V              ; -0.0117 V           ; 0.268 V                              ; 0.262 V                              ; 4.74e-09 s                  ; 3.5e-09 s                   ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.09 V             ; -0.0117 V          ; 0.268 V                             ; 0.262 V                             ; 4.74e-09 s                 ; 3.5e-09 s                  ; No                        ; No                        ;
10173
; SRAM_ADDR[10] ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10174
; SRAM_ADDR[11] ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10175
; SRAM_ADDR[12] ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.09 V              ; -0.0117 V           ; 0.268 V                              ; 0.262 V                              ; 4.74e-09 s                  ; 3.5e-09 s                   ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.09 V             ; -0.0117 V          ; 0.268 V                             ; 0.262 V                             ; 4.74e-09 s                 ; 3.5e-09 s                  ; No                        ; No                        ;
10176
; SRAM_ADDR[13] ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10177
; SRAM_ADDR[14] ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10178
; SRAM_ADDR[15] ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.09 V              ; -0.012 V            ; 0.232 V                              ; 0.268 V                              ; 4.75e-09 s                  ; 3.5e-09 s                   ; Yes                        ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.09 V             ; -0.012 V           ; 0.232 V                             ; 0.268 V                             ; 4.75e-09 s                 ; 3.5e-09 s                  ; Yes                       ; No                        ;
10179
; SRAM_ADDR[16] ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10180
; SRAM_ADDR[17] ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10181
; SRAM_ADDR[18] ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10182
; SRAM_ADDR[19] ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.09 V              ; -0.0117 V           ; 0.268 V                              ; 0.262 V                              ; 4.74e-09 s                  ; 3.5e-09 s                   ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.09 V             ; -0.0117 V          ; 0.268 V                             ; 0.262 V                             ; 4.74e-09 s                 ; 3.5e-09 s                  ; No                        ; No                        ;
10183
; SRAM_UB_N     ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10184
; SRAM_LB_N     ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10185
; SRAM_WE_N     ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10186
; SRAM_CE_N     ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10187
; SRAM_OE_N     ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10188
; SD_DAT3       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10189
; SD_CMD        ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10190
; SD_CLK        ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10191
; VGA_SYNC_N    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10192
; VGA_CLK       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10193
; VGA_BLANK_N   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10194
; VGA_HS        ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10195
; VGA_VS        ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10196
; VGA_R[0]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10197
; VGA_R[1]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10198
; VGA_R[2]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10199
; VGA_R[3]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10200
; VGA_R[4]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10201
; VGA_R[5]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10202
; VGA_R[6]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10203
; VGA_R[7]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10204
; VGA_G[0]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10205
; VGA_G[1]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10206
; VGA_G[2]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10207
; VGA_G[3]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10208
; VGA_G[4]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10209
; VGA_G[5]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10210
; VGA_G[6]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10211
; VGA_G[7]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10212
; VGA_B[0]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10213
; VGA_B[1]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10214
; VGA_B[2]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10215
; VGA_B[3]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10216
; VGA_B[4]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10217
; VGA_B[5]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10218
; VGA_B[6]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10219
; VGA_B[7]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10220
; AUD_DACDAT    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10221
; AUD_XCK       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10222
; LCD_RS        ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10223
; LCD_EN        ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10224
; LCD_RW        ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10225
; LCD_ON        ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.09 V              ; -0.0117 V           ; 0.268 V                              ; 0.262 V                              ; 4.74e-09 s                  ; 3.5e-09 s                   ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.09 V             ; -0.0117 V          ; 0.268 V                             ; 0.262 V                             ; 4.74e-09 s                 ; 3.5e-09 s                  ; No                        ; No                        ;
10226
; LCD_BLON      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10227
; SD_DAT1       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10228
; SD_DAT2       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10229
; PS2_DAT2      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10230
; PS2_CLK2      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10231
; DRAM_DQ[0]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10232
; DRAM_DQ[1]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10233
; DRAM_DQ[2]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10234
; DRAM_DQ[3]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10235
; DRAM_DQ[4]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10236
; DRAM_DQ[5]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10237
; DRAM_DQ[6]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10238
; DRAM_DQ[7]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10239
; DRAM_DQ[8]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10240
; DRAM_DQ[9]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10241
; DRAM_DQ[10]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10242
; DRAM_DQ[11]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10243
; DRAM_DQ[12]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10244
; DRAM_DQ[13]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10245
; DRAM_DQ[14]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.14 V              ; -0.118 V            ; 0.311 V                              ; 0.245 V                              ; 5.04e-10 s                  ; 4.36e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.14 V             ; -0.118 V           ; 0.311 V                             ; 0.245 V                             ; 5.04e-10 s                 ; 4.36e-10 s                 ; No                        ; No                        ;
10246
; DRAM_DQ[15]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10247
; DRAM_DQ[16]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10248
; DRAM_DQ[17]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10249
; DRAM_DQ[18]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10250
; DRAM_DQ[19]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10251
; DRAM_DQ[20]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10252
; DRAM_DQ[21]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10253
; DRAM_DQ[22]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10254
; DRAM_DQ[23]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10255
; DRAM_DQ[24]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10256
; DRAM_DQ[25]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10257
; DRAM_DQ[26]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10258
; DRAM_DQ[27]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10259
; DRAM_DQ[28]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10260
; DRAM_DQ[29]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10261
; DRAM_DQ[30]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10262
; DRAM_DQ[31]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10263
; FL_DQ[0]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10264
; FL_DQ[1]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10265
; FL_DQ[2]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10266
; FL_DQ[3]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10267
; FL_DQ[4]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10268
; FL_DQ[5]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10269
; FL_DQ[6]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10270
; FL_DQ[7]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10271
; SRAM_DQ[0]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10272
; SRAM_DQ[1]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10273
; SRAM_DQ[2]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10274
; SRAM_DQ[3]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10275
; SRAM_DQ[4]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10276
; SRAM_DQ[5]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10277
; SRAM_DQ[6]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10278
; SRAM_DQ[7]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10279
; SRAM_DQ[8]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10280
; SRAM_DQ[9]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10281
; SRAM_DQ[10]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10282
; SRAM_DQ[11]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10283
; SRAM_DQ[12]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10284
; SRAM_DQ[13]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10285
; SRAM_DQ[14]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10286
; SRAM_DQ[15]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.58e-08 V                   ; 3.13 V              ; -0.117 V            ; 0.143 V                              ; 0.259 V                              ; 6.22e-10 s                  ; 4.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.58e-08 V                  ; 3.13 V             ; -0.117 V           ; 0.143 V                             ; 0.259 V                             ; 6.22e-10 s                 ; 4.46e-10 s                 ; No                        ; No                        ;
10287
; PS2_DAT       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.14 V              ; -0.118 V            ; 0.311 V                              ; 0.245 V                              ; 5.04e-10 s                  ; 4.36e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.14 V             ; -0.118 V           ; 0.311 V                             ; 0.245 V                             ; 5.04e-10 s                 ; 4.36e-10 s                 ; No                        ; No                        ;
10288
; PS2_CLK       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10289
; AUD_ADCLRCK   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10290
; AUD_DACLRCK   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10291
; AUD_BCLK      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10292
; LCD_DATA[0]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10293
; LCD_DATA[1]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10294
; LCD_DATA[2]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10295
; LCD_DATA[3]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10296
; LCD_DATA[4]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10297
; LCD_DATA[5]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10298
; LCD_DATA[6]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10299
; LCD_DATA[7]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.09 V              ; -0.0117 V           ; 0.268 V                              ; 0.262 V                              ; 4.74e-09 s                  ; 3.5e-09 s                   ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.09 V             ; -0.0117 V          ; 0.268 V                             ; 0.262 V                             ; 4.74e-09 s                 ; 3.5e-09 s                  ; No                        ; No                        ;
10300
; ~ALTERA_DCLK~ ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 5.73e-09 V                   ; 3.19 V              ; -0.173 V            ; 0.149 V                              ; 0.259 V                              ; 2.79e-10 s                  ; 2.42e-10 s                  ; Yes                        ; Yes                        ; 3.08 V                      ; 5.73e-09 V                  ; 3.19 V             ; -0.173 V           ; 0.149 V                             ; 0.259 V                             ; 2.79e-10 s                 ; 2.42e-10 s                 ; Yes                       ; Yes                       ;
10301
; ~ALTERA_nCEO~ ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.11e-08 V                   ; 3.13 V              ; -0.0798 V           ; 0.192 V                              ; 0.22 V                               ; 8.68e-10 s                  ; 6.46e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 1.11e-08 V                  ; 3.13 V             ; -0.0798 V          ; 0.192 V                             ; 0.22 V                              ; 8.68e-10 s                 ; 6.46e-10 s                 ; No                        ; No                        ;
10302
+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
10303
 
10304
 
10305
+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
10306
; Signal Integrity Metrics (Slow 1200mv 85c Model)                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                           ;
10307
+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
10308
; Pin           ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ;
10309
+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
10310
; HEX0[0]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 6.92e-07 V                   ; 2.35 V              ; -0.00996 V          ; 0.121 V                              ; 0.03 V                               ; 4.64e-10 s                  ; 4.47e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 6.92e-07 V                  ; 2.35 V             ; -0.00996 V         ; 0.121 V                             ; 0.03 V                              ; 4.64e-10 s                 ; 4.47e-10 s                 ; Yes                       ; Yes                       ;
10311
; HEX0[1]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 6.92e-07 V                   ; 2.33 V              ; -0.00265 V          ; 0.133 V                              ; 0.056 V                              ; 3.55e-09 s                  ; 3.31e-09 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 6.92e-07 V                  ; 2.33 V             ; -0.00265 V         ; 0.133 V                             ; 0.056 V                             ; 3.55e-09 s                 ; 3.31e-09 s                 ; Yes                       ; Yes                       ;
10312
; HEX0[2]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 6.92e-07 V                   ; 2.35 V              ; -0.00996 V          ; 0.121 V                              ; 0.03 V                               ; 4.64e-10 s                  ; 4.47e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 6.92e-07 V                  ; 2.35 V             ; -0.00996 V         ; 0.121 V                             ; 0.03 V                              ; 4.64e-10 s                 ; 4.47e-10 s                 ; Yes                       ; Yes                       ;
10313
; HEX0[3]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.12 V              ; -0.0722 V           ; 0.214 V                              ; 0.171 V                              ; 6.67e-10 s                  ; 6.2e-10 s                   ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.12 V             ; -0.0722 V          ; 0.214 V                             ; 0.171 V                             ; 6.67e-10 s                 ; 6.2e-10 s                  ; Yes                       ; No                        ;
10314
; HEX0[4]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.12 V              ; -0.0722 V           ; 0.214 V                              ; 0.171 V                              ; 6.67e-10 s                  ; 6.2e-10 s                   ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.12 V             ; -0.0722 V          ; 0.214 V                             ; 0.171 V                             ; 6.67e-10 s                 ; 6.2e-10 s                  ; Yes                       ; No                        ;
10315
; HEX0[5]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.08 V              ; -0.00641 V          ; 0.261 V                              ; 0.26 V                               ; 5.52e-09 s                  ; 4.36e-09 s                  ; Yes                        ; Yes                        ; 3.08 V                      ; 1.28e-06 V                  ; 3.08 V             ; -0.00641 V         ; 0.261 V                             ; 0.26 V                              ; 5.52e-09 s                 ; 4.36e-09 s                 ; Yes                       ; Yes                       ;
10316
; HEX0[6]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.12 V              ; -0.0722 V           ; 0.214 V                              ; 0.171 V                              ; 6.67e-10 s                  ; 6.2e-10 s                   ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.12 V             ; -0.0722 V          ; 0.214 V                             ; 0.171 V                             ; 6.67e-10 s                 ; 6.2e-10 s                  ; Yes                       ; No                        ;
10317
; HEX1[0]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.12 V              ; -0.0722 V           ; 0.214 V                              ; 0.171 V                              ; 6.67e-10 s                  ; 6.2e-10 s                   ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.12 V             ; -0.0722 V          ; 0.214 V                             ; 0.171 V                             ; 6.67e-10 s                 ; 6.2e-10 s                  ; Yes                       ; No                        ;
10318
; HEX1[1]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10319
; HEX1[2]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10320
; HEX1[3]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10321
; HEX1[4]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10322
; HEX1[5]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.08 V              ; -0.00641 V          ; 0.261 V                              ; 0.26 V                               ; 5.52e-09 s                  ; 4.36e-09 s                  ; Yes                        ; Yes                        ; 3.08 V                      ; 1.28e-06 V                  ; 3.08 V             ; -0.00641 V         ; 0.261 V                             ; 0.26 V                              ; 5.52e-09 s                 ; 4.36e-09 s                 ; Yes                       ; Yes                       ;
10323
; HEX1[6]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.08 V              ; -0.00641 V          ; 0.261 V                              ; 0.26 V                               ; 5.52e-09 s                  ; 4.36e-09 s                  ; Yes                        ; Yes                        ; 3.08 V                      ; 1.28e-06 V                  ; 3.08 V             ; -0.00641 V         ; 0.261 V                             ; 0.26 V                              ; 5.52e-09 s                 ; 4.36e-09 s                 ; Yes                       ; Yes                       ;
10324
; HEX2[0]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10325
; HEX2[1]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10326
; HEX2[2]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10327
; HEX2[3]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10328
; HEX2[4]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10329
; HEX2[5]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10330
; HEX2[6]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10331
; HEX3[0]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10332
; HEX3[1]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.12 V              ; -0.0722 V           ; 0.214 V                              ; 0.171 V                              ; 6.67e-10 s                  ; 6.2e-10 s                   ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.12 V             ; -0.0722 V          ; 0.214 V                             ; 0.171 V                             ; 6.67e-10 s                 ; 6.2e-10 s                  ; Yes                       ; No                        ;
10333
; HEX3[2]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.08 V              ; -0.00581 V          ; 0.138 V                              ; 0.22 V                               ; 5.55e-09 s                  ; 4.38e-09 s                  ; Yes                        ; Yes                        ; 3.08 V                      ; 1.9e-06 V                   ; 3.08 V             ; -0.00581 V         ; 0.138 V                             ; 0.22 V                              ; 5.55e-09 s                 ; 4.38e-09 s                 ; Yes                       ; Yes                       ;
10334
; HEX3[3]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10335
; HEX3[4]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10336
; HEX3[5]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10337
; HEX3[6]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10338
; HEX4[0]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10339
; HEX4[1]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10340
; HEX4[2]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10341
; HEX4[3]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10342
; HEX4[4]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10343
; HEX4[5]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10344
; HEX4[6]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10345
; HEX5[0]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10346
; HEX5[1]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.08 V              ; -0.00581 V          ; 0.138 V                              ; 0.22 V                               ; 5.55e-09 s                  ; 4.38e-09 s                  ; Yes                        ; Yes                        ; 3.08 V                      ; 1.9e-06 V                   ; 3.08 V             ; -0.00581 V         ; 0.138 V                             ; 0.22 V                              ; 5.55e-09 s                 ; 4.38e-09 s                 ; Yes                       ; Yes                       ;
10347
; HEX5[2]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10348
; HEX5[3]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10349
; HEX5[4]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10350
; HEX5[5]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10351
; HEX5[6]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10352
; HEX6[0]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10353
; HEX6[1]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10354
; HEX6[2]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10355
; HEX6[3]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10356
; HEX6[4]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10357
; HEX6[5]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.08 V              ; -0.00581 V          ; 0.138 V                              ; 0.22 V                               ; 5.55e-09 s                  ; 4.38e-09 s                  ; Yes                        ; Yes                        ; 3.08 V                      ; 1.9e-06 V                   ; 3.08 V             ; -0.00581 V         ; 0.138 V                             ; 0.22 V                              ; 5.55e-09 s                 ; 4.38e-09 s                 ; Yes                       ; Yes                       ;
10358
; HEX6[6]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10359
; HEX7[0]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10360
; HEX7[1]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10361
; HEX7[2]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10362
; HEX7[3]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10363
; HEX7[4]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10364
; HEX7[5]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10365
; HEX7[6]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10366
; LEDG[0]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 6.92e-07 V                   ; 2.35 V              ; -0.00996 V          ; 0.121 V                              ; 0.03 V                               ; 4.64e-10 s                  ; 4.47e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 6.92e-07 V                  ; 2.35 V             ; -0.00996 V         ; 0.121 V                             ; 0.03 V                              ; 4.64e-10 s                 ; 4.47e-10 s                 ; Yes                       ; Yes                       ;
10367
; LEDG[1]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 6.92e-07 V                   ; 2.35 V              ; -0.00996 V          ; 0.121 V                              ; 0.03 V                               ; 4.64e-10 s                  ; 4.47e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 6.92e-07 V                  ; 2.35 V             ; -0.00996 V         ; 0.121 V                             ; 0.03 V                              ; 4.64e-10 s                 ; 4.47e-10 s                 ; Yes                       ; Yes                       ;
10368
; LEDG[2]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 6.92e-07 V                   ; 2.35 V              ; -0.00996 V          ; 0.121 V                              ; 0.03 V                               ; 4.64e-10 s                  ; 4.47e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 6.92e-07 V                  ; 2.35 V             ; -0.00996 V         ; 0.121 V                             ; 0.03 V                              ; 4.64e-10 s                 ; 4.47e-10 s                 ; Yes                       ; Yes                       ;
10369
; LEDG[3]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 6.92e-07 V                   ; 2.35 V              ; -0.00996 V          ; 0.121 V                              ; 0.03 V                               ; 4.64e-10 s                  ; 4.47e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 6.92e-07 V                  ; 2.35 V             ; -0.00996 V         ; 0.121 V                             ; 0.03 V                              ; 4.64e-10 s                 ; 4.47e-10 s                 ; Yes                       ; Yes                       ;
10370
; LEDG[4]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 6.92e-07 V                   ; 2.35 V              ; -0.00996 V          ; 0.121 V                              ; 0.03 V                               ; 4.64e-10 s                  ; 4.47e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 6.92e-07 V                  ; 2.35 V             ; -0.00996 V         ; 0.121 V                             ; 0.03 V                              ; 4.64e-10 s                 ; 4.47e-10 s                 ; Yes                       ; Yes                       ;
10371
; LEDG[5]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 6.92e-07 V                   ; 2.35 V              ; -0.00996 V          ; 0.121 V                              ; 0.03 V                               ; 4.64e-10 s                  ; 4.47e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 6.92e-07 V                  ; 2.35 V             ; -0.00996 V         ; 0.121 V                             ; 0.03 V                              ; 4.64e-10 s                 ; 4.47e-10 s                 ; Yes                       ; Yes                       ;
10372
; LEDG[6]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 6.92e-07 V                   ; 2.35 V              ; -0.00996 V          ; 0.121 V                              ; 0.03 V                               ; 4.64e-10 s                  ; 4.47e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 6.92e-07 V                  ; 2.35 V             ; -0.00996 V         ; 0.121 V                             ; 0.03 V                              ; 4.64e-10 s                 ; 4.47e-10 s                 ; Yes                       ; Yes                       ;
10373
; LEDG[7]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 6.92e-07 V                   ; 2.35 V              ; -0.00996 V          ; 0.121 V                              ; 0.03 V                               ; 4.64e-10 s                  ; 4.47e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 6.92e-07 V                  ; 2.35 V             ; -0.00996 V         ; 0.121 V                             ; 0.03 V                              ; 4.64e-10 s                 ; 4.47e-10 s                 ; Yes                       ; Yes                       ;
10374
; LEDG[8]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 6.92e-07 V                   ; 2.35 V              ; -0.00996 V          ; 0.121 V                              ; 0.03 V                               ; 4.64e-10 s                  ; 4.47e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 6.92e-07 V                  ; 2.35 V             ; -0.00996 V         ; 0.121 V                             ; 0.03 V                              ; 4.64e-10 s                 ; 4.47e-10 s                 ; Yes                       ; Yes                       ;
10375
; LEDR[0]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 6.92e-07 V                   ; 2.35 V              ; -0.00996 V          ; 0.121 V                              ; 0.03 V                               ; 4.64e-10 s                  ; 4.47e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 6.92e-07 V                  ; 2.35 V             ; -0.00996 V         ; 0.121 V                             ; 0.03 V                              ; 4.64e-10 s                 ; 4.47e-10 s                 ; Yes                       ; Yes                       ;
10376
; LEDR[1]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 6.92e-07 V                   ; 2.35 V              ; -0.00996 V          ; 0.121 V                              ; 0.03 V                               ; 4.64e-10 s                  ; 4.47e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 6.92e-07 V                  ; 2.35 V             ; -0.00996 V         ; 0.121 V                             ; 0.03 V                              ; 4.64e-10 s                 ; 4.47e-10 s                 ; Yes                       ; Yes                       ;
10377
; LEDR[2]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 6.92e-07 V                   ; 2.35 V              ; -0.00996 V          ; 0.121 V                              ; 0.03 V                               ; 4.64e-10 s                  ; 4.47e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 6.92e-07 V                  ; 2.35 V             ; -0.00996 V         ; 0.121 V                             ; 0.03 V                              ; 4.64e-10 s                 ; 4.47e-10 s                 ; Yes                       ; Yes                       ;
10378
; LEDR[3]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 6.92e-07 V                   ; 2.35 V              ; -0.00996 V          ; 0.121 V                              ; 0.03 V                               ; 4.64e-10 s                  ; 4.47e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 6.92e-07 V                  ; 2.35 V             ; -0.00996 V         ; 0.121 V                             ; 0.03 V                              ; 4.64e-10 s                 ; 4.47e-10 s                 ; Yes                       ; Yes                       ;
10379
; LEDR[4]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 6.92e-07 V                   ; 2.35 V              ; -0.00996 V          ; 0.121 V                              ; 0.03 V                               ; 4.64e-10 s                  ; 4.47e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 6.92e-07 V                  ; 2.35 V             ; -0.00996 V         ; 0.121 V                             ; 0.03 V                              ; 4.64e-10 s                 ; 4.47e-10 s                 ; Yes                       ; Yes                       ;
10380
; LEDR[5]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 6.92e-07 V                   ; 2.35 V              ; -0.00996 V          ; 0.121 V                              ; 0.03 V                               ; 4.64e-10 s                  ; 4.47e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 6.92e-07 V                  ; 2.35 V             ; -0.00996 V         ; 0.121 V                             ; 0.03 V                              ; 4.64e-10 s                 ; 4.47e-10 s                 ; Yes                       ; Yes                       ;
10381
; LEDR[6]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 6.92e-07 V                   ; 2.35 V              ; -0.00996 V          ; 0.121 V                              ; 0.03 V                               ; 4.64e-10 s                  ; 4.47e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 6.92e-07 V                  ; 2.35 V             ; -0.00996 V         ; 0.121 V                             ; 0.03 V                              ; 4.64e-10 s                 ; 4.47e-10 s                 ; Yes                       ; Yes                       ;
10382
; LEDR[7]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 6.92e-07 V                   ; 2.35 V              ; -0.00996 V          ; 0.121 V                              ; 0.03 V                               ; 4.64e-10 s                  ; 4.47e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 6.92e-07 V                  ; 2.35 V             ; -0.00996 V         ; 0.121 V                             ; 0.03 V                              ; 4.64e-10 s                 ; 4.47e-10 s                 ; Yes                       ; Yes                       ;
10383
; LEDR[8]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 6.92e-07 V                   ; 2.35 V              ; -0.00996 V          ; 0.121 V                              ; 0.03 V                               ; 4.64e-10 s                  ; 4.47e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 6.92e-07 V                  ; 2.35 V             ; -0.00996 V         ; 0.121 V                             ; 0.03 V                              ; 4.64e-10 s                 ; 4.47e-10 s                 ; Yes                       ; Yes                       ;
10384
; LEDR[9]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 6.92e-07 V                   ; 2.33 V              ; -0.00265 V          ; 0.133 V                              ; 0.056 V                              ; 3.55e-09 s                  ; 3.31e-09 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 6.92e-07 V                  ; 2.33 V             ; -0.00265 V         ; 0.133 V                             ; 0.056 V                             ; 3.55e-09 s                 ; 3.31e-09 s                 ; Yes                       ; Yes                       ;
10385
; LEDR[10]      ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 6.92e-07 V                   ; 2.35 V              ; -0.00996 V          ; 0.121 V                              ; 0.03 V                               ; 4.64e-10 s                  ; 4.47e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 6.92e-07 V                  ; 2.35 V             ; -0.00996 V         ; 0.121 V                             ; 0.03 V                              ; 4.64e-10 s                 ; 4.47e-10 s                 ; Yes                       ; Yes                       ;
10386
; LEDR[11]      ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 6.92e-07 V                   ; 2.35 V              ; -0.00996 V          ; 0.121 V                              ; 0.03 V                               ; 4.64e-10 s                  ; 4.47e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 6.92e-07 V                  ; 2.35 V             ; -0.00996 V         ; 0.121 V                             ; 0.03 V                              ; 4.64e-10 s                 ; 4.47e-10 s                 ; Yes                       ; Yes                       ;
10387
; LEDR[12]      ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 6.92e-07 V                   ; 2.35 V              ; -0.00996 V          ; 0.121 V                              ; 0.03 V                               ; 4.64e-10 s                  ; 4.47e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 6.92e-07 V                  ; 2.35 V             ; -0.00996 V         ; 0.121 V                             ; 0.03 V                              ; 4.64e-10 s                 ; 4.47e-10 s                 ; Yes                       ; Yes                       ;
10388
; LEDR[13]      ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 6.92e-07 V                   ; 2.35 V              ; -0.00996 V          ; 0.121 V                              ; 0.03 V                               ; 4.64e-10 s                  ; 4.47e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 6.92e-07 V                  ; 2.35 V             ; -0.00996 V         ; 0.121 V                             ; 0.03 V                              ; 4.64e-10 s                 ; 4.47e-10 s                 ; Yes                       ; Yes                       ;
10389
; LEDR[14]      ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 6.92e-07 V                   ; 2.35 V              ; -0.00996 V          ; 0.121 V                              ; 0.03 V                               ; 4.64e-10 s                  ; 4.47e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 6.92e-07 V                  ; 2.35 V             ; -0.00996 V         ; 0.121 V                             ; 0.03 V                              ; 4.64e-10 s                 ; 4.47e-10 s                 ; Yes                       ; Yes                       ;
10390
; LEDR[15]      ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 6.92e-07 V                   ; 2.33 V              ; -0.00265 V          ; 0.133 V                              ; 0.056 V                              ; 3.55e-09 s                  ; 3.31e-09 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 6.92e-07 V                  ; 2.33 V             ; -0.00265 V         ; 0.133 V                             ; 0.056 V                             ; 3.55e-09 s                 ; 3.31e-09 s                 ; Yes                       ; Yes                       ;
10391
; LEDR[16]      ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 6.92e-07 V                   ; 2.35 V              ; -0.00996 V          ; 0.121 V                              ; 0.03 V                               ; 4.64e-10 s                  ; 4.47e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 6.92e-07 V                  ; 2.35 V             ; -0.00996 V         ; 0.121 V                             ; 0.03 V                              ; 4.64e-10 s                 ; 4.47e-10 s                 ; Yes                       ; Yes                       ;
10392
; LEDR[17]      ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 6.92e-07 V                   ; 2.35 V              ; -0.00996 V          ; 0.121 V                              ; 0.03 V                               ; 4.64e-10 s                  ; 4.47e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 6.92e-07 V                  ; 2.35 V             ; -0.00996 V         ; 0.121 V                             ; 0.03 V                              ; 4.64e-10 s                 ; 4.47e-10 s                 ; Yes                       ; Yes                       ;
10393
; UART_TXD      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.08 V              ; -0.00581 V          ; 0.138 V                              ; 0.22 V                               ; 5.55e-09 s                  ; 4.38e-09 s                  ; Yes                        ; Yes                        ; 3.08 V                      ; 1.9e-06 V                   ; 3.08 V             ; -0.00581 V         ; 0.138 V                             ; 0.22 V                              ; 5.55e-09 s                 ; 4.38e-09 s                 ; Yes                       ; Yes                       ;
10394
; DRAM_BA_0     ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.12 V              ; -0.0722 V           ; 0.214 V                              ; 0.171 V                              ; 6.67e-10 s                  ; 6.2e-10 s                   ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.12 V             ; -0.0722 V          ; 0.214 V                             ; 0.171 V                             ; 6.67e-10 s                 ; 6.2e-10 s                  ; Yes                       ; No                        ;
10395
; DRAM_BA_1     ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10396
; DRAM_DQM_0    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10397
; DRAM_DQM_1    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10398
; DRAM_DQM_2    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10399
; DRAM_DQM_3    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.12 V              ; -0.0722 V           ; 0.214 V                              ; 0.171 V                              ; 6.67e-10 s                  ; 6.2e-10 s                   ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.12 V             ; -0.0722 V          ; 0.214 V                             ; 0.171 V                             ; 6.67e-10 s                 ; 6.2e-10 s                  ; Yes                       ; No                        ;
10400
; DRAM_WE_N     ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10401
; DRAM_CAS_N    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10402
; DRAM_RAS_N    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10403
; DRAM_CS_N     ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10404
; DRAM_ADDR[0]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10405
; DRAM_ADDR[1]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10406
; DRAM_ADDR[2]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.12 V              ; -0.0722 V           ; 0.214 V                              ; 0.171 V                              ; 6.67e-10 s                  ; 6.2e-10 s                   ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.12 V             ; -0.0722 V          ; 0.214 V                             ; 0.171 V                             ; 6.67e-10 s                 ; 6.2e-10 s                  ; Yes                       ; No                        ;
10407
; DRAM_ADDR[3]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10408
; DRAM_ADDR[4]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10409
; DRAM_ADDR[5]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10410
; DRAM_ADDR[6]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.12 V              ; -0.0722 V           ; 0.214 V                              ; 0.171 V                              ; 6.67e-10 s                  ; 6.2e-10 s                   ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.12 V             ; -0.0722 V          ; 0.214 V                             ; 0.171 V                             ; 6.67e-10 s                 ; 6.2e-10 s                  ; Yes                       ; No                        ;
10411
; DRAM_ADDR[7]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.12 V              ; -0.0722 V           ; 0.214 V                              ; 0.171 V                              ; 6.67e-10 s                  ; 6.2e-10 s                   ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.12 V             ; -0.0722 V          ; 0.214 V                             ; 0.171 V                             ; 6.67e-10 s                 ; 6.2e-10 s                  ; Yes                       ; No                        ;
10412
; DRAM_ADDR[8]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10413
; DRAM_ADDR[9]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10414
; DRAM_ADDR[10] ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.12 V              ; -0.0722 V           ; 0.214 V                              ; 0.171 V                              ; 6.67e-10 s                  ; 6.2e-10 s                   ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.12 V             ; -0.0722 V          ; 0.214 V                             ; 0.171 V                             ; 6.67e-10 s                 ; 6.2e-10 s                  ; Yes                       ; No                        ;
10415
; DRAM_ADDR[11] ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10416
; DRAM_ADDR[12] ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10417
; DRAM_CLK      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10418
; DRAM_CKE      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10419
; FL_ADDR[0]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10420
; FL_ADDR[1]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10421
; FL_ADDR[2]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10422
; FL_ADDR[3]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10423
; FL_ADDR[4]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10424
; FL_ADDR[5]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10425
; FL_ADDR[6]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10426
; FL_ADDR[7]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.08 V              ; -0.00581 V          ; 0.138 V                              ; 0.22 V                               ; 5.55e-09 s                  ; 4.38e-09 s                  ; Yes                        ; Yes                        ; 3.08 V                      ; 1.9e-06 V                   ; 3.08 V             ; -0.00581 V         ; 0.138 V                             ; 0.22 V                              ; 5.55e-09 s                 ; 4.38e-09 s                 ; Yes                       ; Yes                       ;
10427
; FL_ADDR[8]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10428
; FL_ADDR[9]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10429
; FL_ADDR[10]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10430
; FL_ADDR[11]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10431
; FL_ADDR[12]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10432
; FL_ADDR[13]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10433
; FL_ADDR[14]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10434
; FL_ADDR[15]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.08 V              ; -0.00581 V          ; 0.138 V                              ; 0.22 V                               ; 5.55e-09 s                  ; 4.38e-09 s                  ; Yes                        ; Yes                        ; 3.08 V                      ; 1.9e-06 V                   ; 3.08 V             ; -0.00581 V         ; 0.138 V                             ; 0.22 V                              ; 5.55e-09 s                 ; 4.38e-09 s                 ; Yes                       ; Yes                       ;
10435
; FL_ADDR[16]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10436
; FL_ADDR[17]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10437
; FL_ADDR[18]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10438
; FL_ADDR[19]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10439
; FL_ADDR[20]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10440
; FL_ADDR[21]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10441
; FL_ADDR[22]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10442
; FL_WP_N       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10443
; FL_WE_N       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10444
; FL_RST_N      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10445
; FL_OE_N       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10446
; FL_CE_N       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10447
; SRAM_ADDR[0]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10448
; SRAM_ADDR[1]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10449
; SRAM_ADDR[2]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10450
; SRAM_ADDR[3]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10451
; SRAM_ADDR[4]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10452
; SRAM_ADDR[5]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10453
; SRAM_ADDR[6]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10454
; SRAM_ADDR[7]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10455
; SRAM_ADDR[8]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10456
; SRAM_ADDR[9]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.08 V              ; -0.00641 V          ; 0.261 V                              ; 0.26 V                               ; 5.52e-09 s                  ; 4.36e-09 s                  ; Yes                        ; Yes                        ; 3.08 V                      ; 1.28e-06 V                  ; 3.08 V             ; -0.00641 V         ; 0.261 V                             ; 0.26 V                              ; 5.52e-09 s                 ; 4.36e-09 s                 ; Yes                       ; Yes                       ;
10457
; SRAM_ADDR[10] ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10458
; SRAM_ADDR[11] ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10459
; SRAM_ADDR[12] ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.08 V              ; -0.00641 V          ; 0.261 V                              ; 0.26 V                               ; 5.52e-09 s                  ; 4.36e-09 s                  ; Yes                        ; Yes                        ; 3.08 V                      ; 1.28e-06 V                  ; 3.08 V             ; -0.00641 V         ; 0.261 V                             ; 0.26 V                              ; 5.52e-09 s                 ; 4.36e-09 s                 ; Yes                       ; Yes                       ;
10460
; SRAM_ADDR[13] ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10461
; SRAM_ADDR[14] ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10462
; SRAM_ADDR[15] ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.08 V              ; -0.00581 V          ; 0.138 V                              ; 0.22 V                               ; 5.55e-09 s                  ; 4.38e-09 s                  ; Yes                        ; Yes                        ; 3.08 V                      ; 1.9e-06 V                   ; 3.08 V             ; -0.00581 V         ; 0.138 V                             ; 0.22 V                              ; 5.55e-09 s                 ; 4.38e-09 s                 ; Yes                       ; Yes                       ;
10463
; SRAM_ADDR[16] ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10464
; SRAM_ADDR[17] ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10465
; SRAM_ADDR[18] ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10466
; SRAM_ADDR[19] ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.08 V              ; -0.00641 V          ; 0.261 V                              ; 0.26 V                               ; 5.52e-09 s                  ; 4.36e-09 s                  ; Yes                        ; Yes                        ; 3.08 V                      ; 1.28e-06 V                  ; 3.08 V             ; -0.00641 V         ; 0.261 V                             ; 0.26 V                              ; 5.52e-09 s                 ; 4.36e-09 s                 ; Yes                       ; Yes                       ;
10467
; SRAM_UB_N     ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10468
; SRAM_LB_N     ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10469
; SRAM_WE_N     ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10470
; SRAM_CE_N     ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10471
; SRAM_OE_N     ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10472
; SD_DAT3       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10473
; SD_CMD        ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10474
; SD_CLK        ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10475
; VGA_SYNC_N    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10476
; VGA_CLK       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10477
; VGA_BLANK_N   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10478
; VGA_HS        ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10479
; VGA_VS        ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10480
; VGA_R[0]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10481
; VGA_R[1]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10482
; VGA_R[2]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10483
; VGA_R[3]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10484
; VGA_R[4]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10485
; VGA_R[5]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10486
; VGA_R[6]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10487
; VGA_R[7]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10488
; VGA_G[0]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10489
; VGA_G[1]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10490
; VGA_G[2]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10491
; VGA_G[3]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10492
; VGA_G[4]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10493
; VGA_G[5]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10494
; VGA_G[6]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10495
; VGA_G[7]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10496
; VGA_B[0]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10497
; VGA_B[1]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10498
; VGA_B[2]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10499
; VGA_B[3]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10500
; VGA_B[4]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10501
; VGA_B[5]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10502
; VGA_B[6]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10503
; VGA_B[7]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10504
; AUD_DACDAT    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10505
; AUD_XCK       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10506
; LCD_RS        ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10507
; LCD_EN        ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10508
; LCD_RW        ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10509
; LCD_ON        ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.08 V              ; -0.00641 V          ; 0.261 V                              ; 0.26 V                               ; 5.52e-09 s                  ; 4.36e-09 s                  ; Yes                        ; Yes                        ; 3.08 V                      ; 1.28e-06 V                  ; 3.08 V             ; -0.00641 V         ; 0.261 V                             ; 0.26 V                              ; 5.52e-09 s                 ; 4.36e-09 s                 ; Yes                       ; Yes                       ;
10510
; LCD_BLON      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10511
; SD_DAT1       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10512
; SD_DAT2       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10513
; PS2_DAT2      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10514
; PS2_CLK2      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10515
; DRAM_DQ[0]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10516
; DRAM_DQ[1]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10517
; DRAM_DQ[2]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10518
; DRAM_DQ[3]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10519
; DRAM_DQ[4]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10520
; DRAM_DQ[5]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10521
; DRAM_DQ[6]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10522
; DRAM_DQ[7]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10523
; DRAM_DQ[8]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10524
; DRAM_DQ[9]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10525
; DRAM_DQ[10]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10526
; DRAM_DQ[11]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10527
; DRAM_DQ[12]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10528
; DRAM_DQ[13]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10529
; DRAM_DQ[14]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.12 V              ; -0.0722 V           ; 0.214 V                              ; 0.171 V                              ; 6.67e-10 s                  ; 6.2e-10 s                   ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.12 V             ; -0.0722 V          ; 0.214 V                             ; 0.171 V                             ; 6.67e-10 s                 ; 6.2e-10 s                  ; Yes                       ; No                        ;
10530
; DRAM_DQ[15]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10531
; DRAM_DQ[16]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10532
; DRAM_DQ[17]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10533
; DRAM_DQ[18]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10534
; DRAM_DQ[19]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10535
; DRAM_DQ[20]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10536
; DRAM_DQ[21]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10537
; DRAM_DQ[22]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10538
; DRAM_DQ[23]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10539
; DRAM_DQ[24]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10540
; DRAM_DQ[25]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10541
; DRAM_DQ[26]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10542
; DRAM_DQ[27]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10543
; DRAM_DQ[28]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10544
; DRAM_DQ[29]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10545
; DRAM_DQ[30]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10546
; DRAM_DQ[31]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10547
; FL_DQ[0]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10548
; FL_DQ[1]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10549
; FL_DQ[2]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10550
; FL_DQ[3]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10551
; FL_DQ[4]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10552
; FL_DQ[5]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10553
; FL_DQ[6]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10554
; FL_DQ[7]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10555
; SRAM_DQ[0]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10556
; SRAM_DQ[1]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10557
; SRAM_DQ[2]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10558
; SRAM_DQ[3]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10559
; SRAM_DQ[4]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10560
; SRAM_DQ[5]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10561
; SRAM_DQ[6]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10562
; SRAM_DQ[7]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10563
; SRAM_DQ[8]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10564
; SRAM_DQ[9]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10565
; SRAM_DQ[10]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10566
; SRAM_DQ[11]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10567
; SRAM_DQ[12]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10568
; SRAM_DQ[13]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10569
; SRAM_DQ[14]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10570
; SRAM_DQ[15]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.9e-06 V                    ; 3.11 V              ; -0.0625 V           ; 0.224 V                              ; 0.17 V                               ; 6.86e-10 s                  ; 6.31e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.9e-06 V                   ; 3.11 V             ; -0.0625 V          ; 0.224 V                             ; 0.17 V                              ; 6.86e-10 s                 ; 6.31e-10 s                 ; Yes                       ; No                        ;
10571
; PS2_DAT       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.12 V              ; -0.0722 V           ; 0.214 V                              ; 0.171 V                              ; 6.67e-10 s                  ; 6.2e-10 s                   ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.12 V             ; -0.0722 V          ; 0.214 V                             ; 0.171 V                             ; 6.67e-10 s                 ; 6.2e-10 s                  ; Yes                       ; No                        ;
10572
; PS2_CLK       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10573
; AUD_ADCLRCK   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10574
; AUD_DACLRCK   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10575
; AUD_BCLK      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10576
; LCD_DATA[0]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10577
; LCD_DATA[1]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10578
; LCD_DATA[2]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10579
; LCD_DATA[3]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10580
; LCD_DATA[4]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10581
; LCD_DATA[5]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10582
; LCD_DATA[6]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10583
; LCD_DATA[7]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.08 V              ; -0.00641 V          ; 0.261 V                              ; 0.26 V                               ; 5.52e-09 s                  ; 4.36e-09 s                  ; Yes                        ; Yes                        ; 3.08 V                      ; 1.28e-06 V                  ; 3.08 V             ; -0.00641 V         ; 0.261 V                             ; 0.26 V                              ; 5.52e-09 s                 ; 4.36e-09 s                 ; Yes                       ; Yes                       ;
10584
; ~ALTERA_DCLK~ ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 6.54e-07 V                   ; 3.14 V              ; -0.115 V            ; 0.146 V                              ; 0.141 V                              ; 3.07e-10 s                  ; 3.96e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 6.54e-07 V                  ; 3.14 V             ; -0.115 V           ; 0.146 V                             ; 0.141 V                             ; 3.07e-10 s                 ; 3.96e-10 s                 ; Yes                       ; No                        ;
10585
; ~ALTERA_nCEO~ ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.28e-06 V                   ; 3.11 V              ; -0.0528 V           ; 0.302 V                              ; 0.199 V                              ; 9.51e-10 s                  ; 8.47e-10 s                  ; Yes                        ; No                         ; 3.08 V                      ; 1.28e-06 V                  ; 3.11 V             ; -0.0528 V          ; 0.302 V                             ; 0.199 V                             ; 9.51e-10 s                 ; 8.47e-10 s                 ; Yes                       ; No                        ;
10586
+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
10587
 
10588
 
10589
+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
10590
; Signal Integrity Metrics (Fast 1200mv 0c Model)                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                            ;
10591
+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
10592
; Pin           ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ;
10593
+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
10594
; HEX0[0]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
10595
; HEX0[1]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.64 V              ; -0.0113 V           ; 0.208 V                              ; 0.179 V                              ; 2.38e-09 s                  ; 2.23e-09 s                  ; No                         ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.64 V             ; -0.0113 V          ; 0.208 V                             ; 0.179 V                             ; 2.38e-09 s                 ; 2.23e-09 s                 ; No                        ; Yes                       ;
10596
; HEX0[2]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
10597
; HEX0[3]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.6 V               ; -0.127 V            ; 0.302 V                              ; 0.21 V                               ; 4.55e-10 s                  ; 4.11e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.6 V              ; -0.127 V           ; 0.302 V                             ; 0.21 V                              ; 4.55e-10 s                 ; 4.11e-10 s                 ; No                        ; No                        ;
10598
; HEX0[4]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.6 V               ; -0.127 V            ; 0.302 V                              ; 0.21 V                               ; 4.55e-10 s                  ; 4.11e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.6 V              ; -0.127 V           ; 0.302 V                             ; 0.21 V                              ; 4.55e-10 s                 ; 4.11e-10 s                 ; No                        ; No                        ;
10599
; HEX0[5]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.48 V              ; -0.0162 V           ; 0.354 V                              ; 0.317 V                              ; 3.88e-09 s                  ; 3.06e-09 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.48 V             ; -0.0162 V          ; 0.354 V                             ; 0.317 V                             ; 3.88e-09 s                 ; 3.06e-09 s                 ; No                        ; No                        ;
10600
; HEX0[6]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.6 V               ; -0.127 V            ; 0.302 V                              ; 0.21 V                               ; 4.55e-10 s                  ; 4.11e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.6 V              ; -0.127 V           ; 0.302 V                             ; 0.21 V                              ; 4.55e-10 s                 ; 4.11e-10 s                 ; No                        ; No                        ;
10601
; HEX1[0]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.6 V               ; -0.127 V            ; 0.302 V                              ; 0.21 V                               ; 4.55e-10 s                  ; 4.11e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.6 V              ; -0.127 V           ; 0.302 V                             ; 0.21 V                              ; 4.55e-10 s                 ; 4.11e-10 s                 ; No                        ; No                        ;
10602
; HEX1[1]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10603
; HEX1[2]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10604
; HEX1[3]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10605
; HEX1[4]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10606
; HEX1[5]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.48 V              ; -0.0162 V           ; 0.354 V                              ; 0.317 V                              ; 3.88e-09 s                  ; 3.06e-09 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.48 V             ; -0.0162 V          ; 0.354 V                             ; 0.317 V                             ; 3.88e-09 s                 ; 3.06e-09 s                 ; No                        ; No                        ;
10607
; HEX1[6]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.48 V              ; -0.0162 V           ; 0.354 V                              ; 0.317 V                              ; 3.88e-09 s                  ; 3.06e-09 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.48 V             ; -0.0162 V          ; 0.354 V                             ; 0.317 V                             ; 3.88e-09 s                 ; 3.06e-09 s                 ; No                        ; No                        ;
10608
; HEX2[0]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10609
; HEX2[1]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10610
; HEX2[2]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10611
; HEX2[3]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10612
; HEX2[4]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10613
; HEX2[5]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10614
; HEX2[6]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10615
; HEX3[0]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10616
; HEX3[1]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.6 V               ; -0.127 V            ; 0.302 V                              ; 0.21 V                               ; 4.55e-10 s                  ; 4.11e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.6 V              ; -0.127 V           ; 0.302 V                             ; 0.21 V                              ; 4.55e-10 s                 ; 4.11e-10 s                 ; No                        ; No                        ;
10617
; HEX3[2]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.48 V              ; -0.0173 V           ; 0.356 V                              ; 0.324 V                              ; 3.89e-09 s                  ; 3.06e-09 s                  ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.48 V             ; -0.0173 V          ; 0.356 V                             ; 0.324 V                             ; 3.89e-09 s                 ; 3.06e-09 s                 ; No                        ; No                        ;
10618
; HEX3[3]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10619
; HEX3[4]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10620
; HEX3[5]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10621
; HEX3[6]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10622
; HEX4[0]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10623
; HEX4[1]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10624
; HEX4[2]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10625
; HEX4[3]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10626
; HEX4[4]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10627
; HEX4[5]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10628
; HEX4[6]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10629
; HEX5[0]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10630
; HEX5[1]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.48 V              ; -0.0173 V           ; 0.356 V                              ; 0.324 V                              ; 3.89e-09 s                  ; 3.06e-09 s                  ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.48 V             ; -0.0173 V          ; 0.356 V                             ; 0.324 V                             ; 3.89e-09 s                 ; 3.06e-09 s                 ; No                        ; No                        ;
10631
; HEX5[2]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10632
; HEX5[3]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10633
; HEX5[4]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10634
; HEX5[5]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10635
; HEX5[6]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10636
; HEX6[0]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10637
; HEX6[1]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10638
; HEX6[2]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10639
; HEX6[3]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10640
; HEX6[4]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10641
; HEX6[5]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.48 V              ; -0.0173 V           ; 0.356 V                              ; 0.324 V                              ; 3.89e-09 s                  ; 3.06e-09 s                  ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.48 V             ; -0.0173 V          ; 0.356 V                             ; 0.324 V                             ; 3.89e-09 s                 ; 3.06e-09 s                 ; No                        ; No                        ;
10642
; HEX6[6]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10643
; HEX7[0]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10644
; HEX7[1]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10645
; HEX7[2]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10646
; HEX7[3]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10647
; HEX7[4]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10648
; HEX7[5]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10649
; HEX7[6]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10650
; LEDG[0]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
10651
; LEDG[1]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
10652
; LEDG[2]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
10653
; LEDG[3]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
10654
; LEDG[4]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
10655
; LEDG[5]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
10656
; LEDG[6]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
10657
; LEDG[7]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
10658
; LEDG[8]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
10659
; LEDR[0]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
10660
; LEDR[1]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
10661
; LEDR[2]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
10662
; LEDR[3]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
10663
; LEDR[4]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
10664
; LEDR[5]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
10665
; LEDR[6]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
10666
; LEDR[7]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
10667
; LEDR[8]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
10668
; LEDR[9]       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.64 V              ; -0.0113 V           ; 0.208 V                              ; 0.179 V                              ; 2.38e-09 s                  ; 2.23e-09 s                  ; No                         ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.64 V             ; -0.0113 V          ; 0.208 V                             ; 0.179 V                             ; 2.38e-09 s                 ; 2.23e-09 s                 ; No                        ; Yes                       ;
10669
; LEDR[10]      ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
10670
; LEDR[11]      ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
10671
; LEDR[12]      ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
10672
; LEDR[13]      ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
10673
; LEDR[14]      ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
10674
; LEDR[15]      ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.64 V              ; -0.0113 V           ; 0.208 V                              ; 0.179 V                              ; 2.38e-09 s                  ; 2.23e-09 s                  ; No                         ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.64 V             ; -0.0113 V          ; 0.208 V                             ; 0.179 V                             ; 2.38e-09 s                 ; 2.23e-09 s                 ; No                        ; Yes                       ;
10675
; LEDR[16]      ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
10676
; LEDR[17]      ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
10677
; UART_TXD      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.48 V              ; -0.0173 V           ; 0.356 V                              ; 0.324 V                              ; 3.89e-09 s                  ; 3.06e-09 s                  ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.48 V             ; -0.0173 V          ; 0.356 V                             ; 0.324 V                             ; 3.89e-09 s                 ; 3.06e-09 s                 ; No                        ; No                        ;
10678
; DRAM_BA_0     ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.6 V               ; -0.127 V            ; 0.302 V                              ; 0.21 V                               ; 4.55e-10 s                  ; 4.11e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.6 V              ; -0.127 V           ; 0.302 V                             ; 0.21 V                              ; 4.55e-10 s                 ; 4.11e-10 s                 ; No                        ; No                        ;
10679
; DRAM_BA_1     ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10680
; DRAM_DQM_0    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10681
; DRAM_DQM_1    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10682
; DRAM_DQM_2    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10683
; DRAM_DQM_3    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.6 V               ; -0.127 V            ; 0.302 V                              ; 0.21 V                               ; 4.55e-10 s                  ; 4.11e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.6 V              ; -0.127 V           ; 0.302 V                             ; 0.21 V                              ; 4.55e-10 s                 ; 4.11e-10 s                 ; No                        ; No                        ;
10684
; DRAM_WE_N     ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10685
; DRAM_CAS_N    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10686
; DRAM_RAS_N    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10687
; DRAM_CS_N     ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10688
; DRAM_ADDR[0]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10689
; DRAM_ADDR[1]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10690
; DRAM_ADDR[2]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.6 V               ; -0.127 V            ; 0.302 V                              ; 0.21 V                               ; 4.55e-10 s                  ; 4.11e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.6 V              ; -0.127 V           ; 0.302 V                             ; 0.21 V                              ; 4.55e-10 s                 ; 4.11e-10 s                 ; No                        ; No                        ;
10691
; DRAM_ADDR[3]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10692
; DRAM_ADDR[4]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10693
; DRAM_ADDR[5]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10694
; DRAM_ADDR[6]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.6 V               ; -0.127 V            ; 0.302 V                              ; 0.21 V                               ; 4.55e-10 s                  ; 4.11e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.6 V              ; -0.127 V           ; 0.302 V                             ; 0.21 V                              ; 4.55e-10 s                 ; 4.11e-10 s                 ; No                        ; No                        ;
10695
; DRAM_ADDR[7]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.6 V               ; -0.127 V            ; 0.302 V                              ; 0.21 V                               ; 4.55e-10 s                  ; 4.11e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.6 V              ; -0.127 V           ; 0.302 V                             ; 0.21 V                              ; 4.55e-10 s                 ; 4.11e-10 s                 ; No                        ; No                        ;
10696
; DRAM_ADDR[8]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10697
; DRAM_ADDR[9]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10698
; DRAM_ADDR[10] ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.6 V               ; -0.127 V            ; 0.302 V                              ; 0.21 V                               ; 4.55e-10 s                  ; 4.11e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.6 V              ; -0.127 V           ; 0.302 V                             ; 0.21 V                              ; 4.55e-10 s                 ; 4.11e-10 s                 ; No                        ; No                        ;
10699
; DRAM_ADDR[11] ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10700
; DRAM_ADDR[12] ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10701
; DRAM_CLK      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10702
; DRAM_CKE      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10703
; FL_ADDR[0]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10704
; FL_ADDR[1]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10705
; FL_ADDR[2]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10706
; FL_ADDR[3]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10707
; FL_ADDR[4]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10708
; FL_ADDR[5]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10709
; FL_ADDR[6]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10710
; FL_ADDR[7]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.48 V              ; -0.0173 V           ; 0.356 V                              ; 0.324 V                              ; 3.89e-09 s                  ; 3.06e-09 s                  ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.48 V             ; -0.0173 V          ; 0.356 V                             ; 0.324 V                             ; 3.89e-09 s                 ; 3.06e-09 s                 ; No                        ; No                        ;
10711
; FL_ADDR[8]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10712
; FL_ADDR[9]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10713
; FL_ADDR[10]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10714
; FL_ADDR[11]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10715
; FL_ADDR[12]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10716
; FL_ADDR[13]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10717
; FL_ADDR[14]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10718
; FL_ADDR[15]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.48 V              ; -0.0173 V           ; 0.356 V                              ; 0.324 V                              ; 3.89e-09 s                  ; 3.06e-09 s                  ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.48 V             ; -0.0173 V          ; 0.356 V                             ; 0.324 V                             ; 3.89e-09 s                 ; 3.06e-09 s                 ; No                        ; No                        ;
10719
; FL_ADDR[16]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10720
; FL_ADDR[17]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10721
; FL_ADDR[18]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10722
; FL_ADDR[19]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10723
; FL_ADDR[20]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10724
; FL_ADDR[21]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10725
; FL_ADDR[22]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10726
; FL_WP_N       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10727
; FL_WE_N       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10728
; FL_RST_N      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10729
; FL_OE_N       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10730
; FL_CE_N       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10731
; SRAM_ADDR[0]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10732
; SRAM_ADDR[1]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10733
; SRAM_ADDR[2]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10734
; SRAM_ADDR[3]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10735
; SRAM_ADDR[4]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10736
; SRAM_ADDR[5]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10737
; SRAM_ADDR[6]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10738
; SRAM_ADDR[7]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10739
; SRAM_ADDR[8]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10740
; SRAM_ADDR[9]  ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.48 V              ; -0.0162 V           ; 0.354 V                              ; 0.317 V                              ; 3.88e-09 s                  ; 3.06e-09 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.48 V             ; -0.0162 V          ; 0.354 V                             ; 0.317 V                             ; 3.88e-09 s                 ; 3.06e-09 s                 ; No                        ; No                        ;
10741
; SRAM_ADDR[10] ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10742
; SRAM_ADDR[11] ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10743
; SRAM_ADDR[12] ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.48 V              ; -0.0162 V           ; 0.354 V                              ; 0.317 V                              ; 3.88e-09 s                  ; 3.06e-09 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.48 V             ; -0.0162 V          ; 0.354 V                             ; 0.317 V                             ; 3.88e-09 s                 ; 3.06e-09 s                 ; No                        ; No                        ;
10744
; SRAM_ADDR[13] ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10745
; SRAM_ADDR[14] ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10746
; SRAM_ADDR[15] ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.48 V              ; -0.0173 V           ; 0.356 V                              ; 0.324 V                              ; 3.89e-09 s                  ; 3.06e-09 s                  ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.48 V             ; -0.0173 V          ; 0.356 V                             ; 0.324 V                             ; 3.89e-09 s                 ; 3.06e-09 s                 ; No                        ; No                        ;
10747
; SRAM_ADDR[16] ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10748
; SRAM_ADDR[17] ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10749
; SRAM_ADDR[18] ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10750
; SRAM_ADDR[19] ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.48 V              ; -0.0162 V           ; 0.354 V                              ; 0.317 V                              ; 3.88e-09 s                  ; 3.06e-09 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.48 V             ; -0.0162 V          ; 0.354 V                             ; 0.317 V                             ; 3.88e-09 s                 ; 3.06e-09 s                 ; No                        ; No                        ;
10751
; SRAM_UB_N     ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10752
; SRAM_LB_N     ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10753
; SRAM_WE_N     ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10754
; SRAM_CE_N     ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10755
; SRAM_OE_N     ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10756
; SD_DAT3       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10757
; SD_CMD        ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10758
; SD_CLK        ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10759
; VGA_SYNC_N    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10760
; VGA_CLK       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10761
; VGA_BLANK_N   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10762
; VGA_HS        ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10763
; VGA_VS        ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10764
; VGA_R[0]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10765
; VGA_R[1]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10766
; VGA_R[2]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10767
; VGA_R[3]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10768
; VGA_R[4]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10769
; VGA_R[5]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10770
; VGA_R[6]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10771
; VGA_R[7]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10772
; VGA_G[0]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10773
; VGA_G[1]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10774
; VGA_G[2]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10775
; VGA_G[3]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10776
; VGA_G[4]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10777
; VGA_G[5]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10778
; VGA_G[6]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10779
; VGA_G[7]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10780
; VGA_B[0]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10781
; VGA_B[1]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10782
; VGA_B[2]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10783
; VGA_B[3]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10784
; VGA_B[4]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10785
; VGA_B[5]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10786
; VGA_B[6]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10787
; VGA_B[7]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10788
; AUD_DACDAT    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10789
; AUD_XCK       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10790
; LCD_RS        ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10791
; LCD_EN        ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10792
; LCD_RW        ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10793
; LCD_ON        ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.48 V              ; -0.0162 V           ; 0.354 V                              ; 0.317 V                              ; 3.88e-09 s                  ; 3.06e-09 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.48 V             ; -0.0162 V          ; 0.354 V                             ; 0.317 V                             ; 3.88e-09 s                 ; 3.06e-09 s                 ; No                        ; No                        ;
10794
; LCD_BLON      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10795
; SD_DAT1       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10796
; SD_DAT2       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10797
; PS2_DAT2      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10798
; PS2_CLK2      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10799
; DRAM_DQ[0]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10800
; DRAM_DQ[1]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10801
; DRAM_DQ[2]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10802
; DRAM_DQ[3]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10803
; DRAM_DQ[4]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10804
; DRAM_DQ[5]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10805
; DRAM_DQ[6]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10806
; DRAM_DQ[7]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10807
; DRAM_DQ[8]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10808
; DRAM_DQ[9]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10809
; DRAM_DQ[10]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10810
; DRAM_DQ[11]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10811
; DRAM_DQ[12]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10812
; DRAM_DQ[13]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10813
; DRAM_DQ[14]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.6 V               ; -0.127 V            ; 0.302 V                              ; 0.21 V                               ; 4.55e-10 s                  ; 4.11e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.6 V              ; -0.127 V           ; 0.302 V                             ; 0.21 V                              ; 4.55e-10 s                 ; 4.11e-10 s                 ; No                        ; No                        ;
10814
; DRAM_DQ[15]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10815
; DRAM_DQ[16]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10816
; DRAM_DQ[17]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10817
; DRAM_DQ[18]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10818
; DRAM_DQ[19]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10819
; DRAM_DQ[20]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10820
; DRAM_DQ[21]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10821
; DRAM_DQ[22]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10822
; DRAM_DQ[23]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10823
; DRAM_DQ[24]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10824
; DRAM_DQ[25]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10825
; DRAM_DQ[26]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10826
; DRAM_DQ[27]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10827
; DRAM_DQ[28]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10828
; DRAM_DQ[29]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10829
; DRAM_DQ[30]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10830
; DRAM_DQ[31]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10831
; FL_DQ[0]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10832
; FL_DQ[1]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10833
; FL_DQ[2]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10834
; FL_DQ[3]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10835
; FL_DQ[4]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10836
; FL_DQ[5]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10837
; FL_DQ[6]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10838
; FL_DQ[7]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10839
; SRAM_DQ[0]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10840
; SRAM_DQ[1]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10841
; SRAM_DQ[2]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10842
; SRAM_DQ[3]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10843
; SRAM_DQ[4]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10844
; SRAM_DQ[5]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10845
; SRAM_DQ[6]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10846
; SRAM_DQ[7]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10847
; SRAM_DQ[8]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10848
; SRAM_DQ[9]    ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10849
; SRAM_DQ[10]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10850
; SRAM_DQ[11]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10851
; SRAM_DQ[12]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10852
; SRAM_DQ[13]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10853
; SRAM_DQ[14]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10854
; SRAM_DQ[15]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.85e-07 V                   ; 3.57 V              ; -0.141 V            ; 0.301 V                              ; 0.239 V                              ; 4.61e-10 s                  ; 4.2e-10 s                   ; No                         ; No                         ; 3.46 V                      ; 1.85e-07 V                  ; 3.57 V             ; -0.141 V           ; 0.301 V                             ; 0.239 V                             ; 4.61e-10 s                 ; 4.2e-10 s                  ; No                        ; No                        ;
10855
; PS2_DAT       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.6 V               ; -0.127 V            ; 0.302 V                              ; 0.21 V                               ; 4.55e-10 s                  ; 4.11e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.6 V              ; -0.127 V           ; 0.302 V                             ; 0.21 V                              ; 4.55e-10 s                 ; 4.11e-10 s                 ; No                        ; No                        ;
10856
; PS2_CLK       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10857
; AUD_ADCLRCK   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10858
; AUD_DACLRCK   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10859
; AUD_BCLK      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10860
; LCD_DATA[0]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10861
; LCD_DATA[1]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10862
; LCD_DATA[2]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10863
; LCD_DATA[3]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10864
; LCD_DATA[4]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10865
; LCD_DATA[5]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10866
; LCD_DATA[6]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10867
; LCD_DATA[7]   ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.48 V              ; -0.0162 V           ; 0.354 V                              ; 0.317 V                              ; 3.88e-09 s                  ; 3.06e-09 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.48 V             ; -0.0162 V          ; 0.354 V                             ; 0.317 V                             ; 3.88e-09 s                 ; 3.06e-09 s                 ; No                        ; No                        ;
10868
; ~ALTERA_DCLK~ ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 6.54e-08 V                   ; 3.66 V              ; -0.26 V             ; 0.41 V                               ; 0.32 V                               ; 1.57e-10 s                  ; 2.15e-10 s                  ; No                         ; Yes                        ; 3.46 V                      ; 6.54e-08 V                  ; 3.66 V             ; -0.26 V            ; 0.41 V                              ; 0.32 V                              ; 1.57e-10 s                 ; 2.15e-10 s                 ; No                        ; Yes                       ;
10869
; ~ALTERA_nCEO~ ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.25e-07 V                   ; 3.57 V              ; -0.0855 V           ; 0.315 V                              ; 0.175 V                              ; 6.79e-10 s                  ; 6.15e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.25e-07 V                  ; 3.57 V             ; -0.0855 V          ; 0.315 V                             ; 0.175 V                             ; 6.79e-10 s                 ; 6.15e-10 s                 ; No                        ; No                        ;
10870
+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
10871
 
10872
 
10873
+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------+
10874
; Setup Transfers                                                                                                                                                       ;
10875
+-------------------------------------------------------------+-------------------------------------------------------------+----------+----------+----------+----------+
10876
; From Clock                                                  ; To Clock                                                    ; RR Paths ; FR Paths ; RF Paths ; FF Paths ;
10877
+-------------------------------------------------------------+-------------------------------------------------------------+----------+----------+----------+----------+
10878
; clk_div:clkdiv_inst|clock_1Khz_int                          ; clk_div:clkdiv_inst|clock_1Khz_int                          ; 12       ; 0        ; 0        ; 0        ;
10879
; clk_div:clkdiv_inst|clock_1Mhz_int                          ; clk_div:clkdiv_inst|clock_1Mhz_int                          ; 11       ; 0        ; 0        ; 0        ;
10880
; clk_div:clkdiv_inst|clock_100Khz_int                        ; clk_div:clkdiv_inst|clock_1Mhz_int                          ; 1        ; 1        ; 0        ; 0        ;
10881
; clk_div:clkdiv_inst|clock_1Khz_int                          ; clk_div:clkdiv_inst|clock_10Khz_int                         ; 1        ; 1        ; 0        ; 0        ;
10882
; clk_div:clkdiv_inst|clock_10Khz_int                         ; clk_div:clkdiv_inst|clock_10Khz_int                         ; 11       ; 0        ; 0        ; 0        ;
10883
; clk_div:clkdiv_inst|clock_25MHz                             ; clk_div:clkdiv_inst|clock_25MHz                             ; 2611     ; 0        ; 0        ; 0        ;
10884
; SW[16]                                                      ; clk_div:clkdiv_inst|clock_25MHz                             ; 225      ; 0        ; 0        ; 0        ;
10885
; clk_div:clkdiv_inst|clock_25Mhz_int                         ; clk_div:clkdiv_inst|clock_25Mhz_int                         ; 28       ; 0        ; 0        ; 0        ;
10886
; clk_div:clkdiv_inst|clock_100Hz                             ; clk_div:clkdiv_inst|clock_100Hz                             ; 2        ; 0        ; 0        ; 0        ;
10887
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz                             ; 16       ; 0        ; 0        ; 0        ;
10888
; clk_div:clkdiv_inst|clock_10Khz_int                         ; clk_div:clkdiv_inst|clock_100Khz_int                        ; 1        ; 1        ; 0        ; 0        ;
10889
; clk_div:clkdiv_inst|clock_100Khz_int                        ; clk_div:clkdiv_inst|clock_100Khz_int                        ; 11       ; 0        ; 0        ; 0        ;
10890
; clk_div:clkdiv_inst|clock_1Khz_int                          ; CLOCK_50                                                    ; 1        ; 0        ; 0        ; 0        ;
10891
; clk_div:clkdiv_inst|clock_25Mhz_int                         ; CLOCK_50                                                    ; 2        ; 2        ; 0        ; 0        ;
10892
; clk_div:clkdiv_inst|clock_100Hz                             ; CLOCK_50                                                    ; 390      ; 0        ; 0        ; 0        ;
10893
; CLOCK_50                                                    ; CLOCK_50                                                    ; 939      ; 0        ; 0        ; 0        ;
10894
; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50                                                    ; 155      ; 39       ; 0        ; 0        ;
10895
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50                                                    ; 3318     ; 3        ; 0        ; 0        ;
10896
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set             ; CLOCK_50                                                    ; 9        ; 0        ; 0        ; 0        ;
10897
; SW[16]                                                      ; CLOCK_50                                                    ; 1        ; 0        ; 0        ; 0        ;
10898
; CLOCK_50                                                    ; LCD:lcd_inst|clk_400hz_enable                               ; 250      ; 0        ; 0        ; 0        ;
10899
; T80se:z80_inst|MREQ_n                                       ; LCD:lcd_inst|clk_400hz_enable                               ; 0        ; 258      ; 0        ; 0        ;
10900
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 141      ; 0        ; 0        ; 0        ;
10901
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set             ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1        ; 1        ; 0        ; 0        ;
10902
; clk_div:clkdiv_inst|clock_25MHz                             ; SW[16]                                                      ; 60       ; 0        ; 0        ; 0        ;
10903
; CLOCK_50                                                    ; SW[16]                                                      ; 40       ; 0        ; 0        ; 0        ;
10904
; SW[16]                                                      ; SW[16]                                                      ; 5846783  ; 0        ; 0        ; 0        ;
10905
; T80se:z80_inst|MREQ_n                                       ; SW[16]                                                      ; 749      ; 749      ; 0        ; 0        ;
10906
; SW[16]                                                      ; T80se:z80_inst|MREQ_n                                       ; 0        ; 0        ; 256      ; 0        ;
10907
+-------------------------------------------------------------+-------------------------------------------------------------+----------+----------+----------+----------+
10908
Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported.
10909
 
10910
 
10911
+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------+
10912
; Hold Transfers                                                                                                                                                        ;
10913
+-------------------------------------------------------------+-------------------------------------------------------------+----------+----------+----------+----------+
10914
; From Clock                                                  ; To Clock                                                    ; RR Paths ; FR Paths ; RF Paths ; FF Paths ;
10915
+-------------------------------------------------------------+-------------------------------------------------------------+----------+----------+----------+----------+
10916
; clk_div:clkdiv_inst|clock_1Khz_int                          ; clk_div:clkdiv_inst|clock_1Khz_int                          ; 12       ; 0        ; 0        ; 0        ;
10917
; clk_div:clkdiv_inst|clock_1Mhz_int                          ; clk_div:clkdiv_inst|clock_1Mhz_int                          ; 11       ; 0        ; 0        ; 0        ;
10918
; clk_div:clkdiv_inst|clock_100Khz_int                        ; clk_div:clkdiv_inst|clock_1Mhz_int                          ; 1        ; 1        ; 0        ; 0        ;
10919
; clk_div:clkdiv_inst|clock_1Khz_int                          ; clk_div:clkdiv_inst|clock_10Khz_int                         ; 1        ; 1        ; 0        ; 0        ;
10920
; clk_div:clkdiv_inst|clock_10Khz_int                         ; clk_div:clkdiv_inst|clock_10Khz_int                         ; 11       ; 0        ; 0        ; 0        ;
10921
; clk_div:clkdiv_inst|clock_25MHz                             ; clk_div:clkdiv_inst|clock_25MHz                             ; 2611     ; 0        ; 0        ; 0        ;
10922
; SW[16]                                                      ; clk_div:clkdiv_inst|clock_25MHz                             ; 225      ; 0        ; 0        ; 0        ;
10923
; clk_div:clkdiv_inst|clock_25Mhz_int                         ; clk_div:clkdiv_inst|clock_25Mhz_int                         ; 28       ; 0        ; 0        ; 0        ;
10924
; clk_div:clkdiv_inst|clock_100Hz                             ; clk_div:clkdiv_inst|clock_100Hz                             ; 2        ; 0        ; 0        ; 0        ;
10925
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; clk_div:clkdiv_inst|clock_100Hz                             ; 16       ; 0        ; 0        ; 0        ;
10926
; clk_div:clkdiv_inst|clock_10Khz_int                         ; clk_div:clkdiv_inst|clock_100Khz_int                        ; 1        ; 1        ; 0        ; 0        ;
10927
; clk_div:clkdiv_inst|clock_100Khz_int                        ; clk_div:clkdiv_inst|clock_100Khz_int                        ; 11       ; 0        ; 0        ; 0        ;
10928
; clk_div:clkdiv_inst|clock_1Khz_int                          ; CLOCK_50                                                    ; 1        ; 0        ; 0        ; 0        ;
10929
; clk_div:clkdiv_inst|clock_25Mhz_int                         ; CLOCK_50                                                    ; 2        ; 2        ; 0        ; 0        ;
10930
; clk_div:clkdiv_inst|clock_100Hz                             ; CLOCK_50                                                    ; 390      ; 0        ; 0        ; 0        ;
10931
; CLOCK_50                                                    ; CLOCK_50                                                    ; 939      ; 0        ; 0        ; 0        ;
10932
; LCD:lcd_inst|clk_400hz_enable                               ; CLOCK_50                                                    ; 155      ; 39       ; 0        ; 0        ;
10933
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; CLOCK_50                                                    ; 3318     ; 3        ; 0        ; 0        ;
10934
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set             ; CLOCK_50                                                    ; 9        ; 0        ; 0        ; 0        ;
10935
; SW[16]                                                      ; CLOCK_50                                                    ; 1        ; 0        ; 0        ; 0        ;
10936
; CLOCK_50                                                    ; LCD:lcd_inst|clk_400hz_enable                               ; 250      ; 0        ; 0        ; 0        ;
10937
; T80se:z80_inst|MREQ_n                                       ; LCD:lcd_inst|clk_400hz_enable                               ; 0        ; 258      ; 0        ; 0        ;
10938
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 141      ; 0        ; 0        ; 0        ;
10939
; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set             ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ; 1        ; 1        ; 0        ; 0        ;
10940
; clk_div:clkdiv_inst|clock_25MHz                             ; SW[16]                                                      ; 60       ; 0        ; 0        ; 0        ;
10941
; CLOCK_50                                                    ; SW[16]                                                      ; 40       ; 0        ; 0        ; 0        ;
10942
; SW[16]                                                      ; SW[16]                                                      ; 5846783  ; 0        ; 0        ; 0        ;
10943
; T80se:z80_inst|MREQ_n                                       ; SW[16]                                                      ; 749      ; 749      ; 0        ; 0        ;
10944
; SW[16]                                                      ; T80se:z80_inst|MREQ_n                                       ; 0        ; 0        ; 256      ; 0        ;
10945
+-------------------------------------------------------------+-------------------------------------------------------------+----------+----------+----------+----------+
10946
Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported.
10947
 
10948
 
10949
+----------------------------------------------------------------------------------------------------------+
10950
; Recovery Transfers                                                                                       ;
10951
+------------+-------------------------------------------------+----------+----------+----------+----------+
10952
; From Clock ; To Clock                                        ; RR Paths ; FR Paths ; RF Paths ; FF Paths ;
10953
+------------+-------------------------------------------------+----------+----------+----------+----------+
10954
; CLOCK_50   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set ; 1        ; 0        ; 0        ; 0        ;
10955
+------------+-------------------------------------------------+----------+----------+----------+----------+
10956
Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported.
10957
 
10958
 
10959
+----------------------------------------------------------------------------------------------------------+
10960
; Removal Transfers                                                                                        ;
10961
+------------+-------------------------------------------------+----------+----------+----------+----------+
10962
; From Clock ; To Clock                                        ; RR Paths ; FR Paths ; RF Paths ; FF Paths ;
10963
+------------+-------------------------------------------------+----------+----------+----------+----------+
10964
; CLOCK_50   ; ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set ; 1        ; 0        ; 0        ; 0        ;
10965
+------------+-------------------------------------------------+----------+----------+----------+----------+
10966
Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported.
10967
 
10968
 
10969
---------------
10970
; Report TCCS ;
10971
---------------
10972
No dedicated SERDES Transmitter circuitry present in device or used in design
10973
 
10974
 
10975
---------------
10976
; Report RSKM ;
10977
---------------
10978
No dedicated SERDES Receiver circuitry present in device or used in design
10979
 
10980
 
10981
+------------------------------------------------+
10982
; Unconstrained Paths                            ;
10983
+---------------------------------+-------+------+
10984
; Property                        ; Setup ; Hold ;
10985
+---------------------------------+-------+------+
10986
; Illegal Clocks                  ; 0     ; 0    ;
10987
; Unconstrained Clocks            ; 0     ; 0    ;
10988
; Unconstrained Input Ports       ; 31    ; 31   ;
10989
; Unconstrained Input Port Paths  ; 318   ; 318  ;
10990
; Unconstrained Output Ports      ; 124   ; 124  ;
10991
; Unconstrained Output Port Paths ; 331   ; 331  ;
10992
+---------------------------------+-------+------+
10993
 
10994
 
10995
+------------------------------------+
10996
; TimeQuest Timing Analyzer Messages ;
10997
+------------------------------------+
10998
Info: *******************************************************************
10999
Info: Running Quartus II 64-Bit TimeQuest Timing Analyzer
11000
    Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition
11001
    Info: Processing started: Sun Jun 19 13:45:34 2016
11002
Info: Command: quartus_sta z80soc -c 073DE2115e
11003
Info: qsta_default_script.tcl version: #1
11004
Warning (20028): Parallel compilation is not licensed and has been disabled
11005
Info (21077): Low junction temperature is 0 degrees C
11006
Info (21077): High junction temperature is 85 degrees C
11007
Warning (335093): TimeQuest Timing Analyzer is analyzing 256 combinational loops as latches.
11008
Critical Warning (332012): Synopsys Design Constraints File file not found: '073DE2115e.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design.
11009
Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0"
11010
Info (332105): Deriving Clocks
11011
    Info (332105): create_clock -period 1.000 -name SW[16] SW[16]
11012
    Info (332105): create_clock -period 1.000 -name clk_div:clkdiv_inst|clock_25MHz clk_div:clkdiv_inst|clock_25MHz
11013
    Info (332105): create_clock -period 1.000 -name CLOCK_50 CLOCK_50
11014
    Info (332105): create_clock -period 1.000 -name clk_div:clkdiv_inst|clock_100Hz clk_div:clkdiv_inst|clock_100Hz
11015
    Info (332105): create_clock -period 1.000 -name ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered
11016
    Info (332105): create_clock -period 1.000 -name clk_div:clkdiv_inst|clock_1Khz_int clk_div:clkdiv_inst|clock_1Khz_int
11017
    Info (332105): create_clock -period 1.000 -name clk_div:clkdiv_inst|clock_10Khz_int clk_div:clkdiv_inst|clock_10Khz_int
11018
    Info (332105): create_clock -period 1.000 -name clk_div:clkdiv_inst|clock_100Khz_int clk_div:clkdiv_inst|clock_100Khz_int
11019
    Info (332105): create_clock -period 1.000 -name clk_div:clkdiv_inst|clock_1Mhz_int clk_div:clkdiv_inst|clock_1Mhz_int
11020
    Info (332105): create_clock -period 1.000 -name clk_div:clkdiv_inst|clock_25Mhz_int clk_div:clkdiv_inst|clock_25Mhz_int
11021
    Info (332105): create_clock -period 1.000 -name ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set
11022
    Info (332105): create_clock -period 1.000 -name LCD:lcd_inst|clk_400hz_enable LCD:lcd_inst|clk_400hz_enable
11023
    Info (332105): create_clock -period 1.000 -name T80se:z80_inst|MREQ_n T80se:z80_inst|MREQ_n
11024
Info (332097): The following timing edges are non-unate.  TimeQuest will assume pos-unate behavior for these edges in the clock network.
11025
    Info (332098): Cell: Clk_Z80  from: dataa  to: combout
11026
Info (332143): No user constrained clock uncertainty found in the design. Calling "derive_clock_uncertainty"
11027
Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties.
11028
Info: Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON
11029
Info: Analyzing Slow 1200mV 85C Model
11030
Critical Warning (332148): Timing requirements not met
11031
    Info (11105): For recommendations on closing timing, run Report Timing Closure Recommendations in the TimeQuest Timing Analyzer.
11032
Info (332146): Worst-case setup slack is -12.540
11033
    Info (332119):     Slack End Point TNS Clock
11034
    Info (332119): ========= ============= =====================
11035
    Info (332119):   -12.540     -3745.302 SW[16]
11036
    Info (332119):   -11.704       -88.730 LCD:lcd_inst|clk_400hz_enable
11037
    Info (332119):    -7.823      -185.058 CLOCK_50
11038
    Info (332119):    -5.695      -252.613 clk_div:clkdiv_inst|clock_25MHz
11039
    Info (332119):    -5.245       -10.490 clk_div:clkdiv_inst|clock_100Hz
11040
    Info (332119):    -2.601      -435.678 T80se:z80_inst|MREQ_n
11041
    Info (332119):    -2.262       -38.292 ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered
11042
    Info (332119):    -0.895        -4.526 clk_div:clkdiv_inst|clock_25Mhz_int
11043
    Info (332119):    -0.651        -1.066 clk_div:clkdiv_inst|clock_1Mhz_int
11044
    Info (332119):    -0.530        -0.826 clk_div:clkdiv_inst|clock_100Khz_int
11045
    Info (332119):    -0.521        -0.804 clk_div:clkdiv_inst|clock_10Khz_int
11046
    Info (332119):    -0.190        -0.495 clk_div:clkdiv_inst|clock_1Khz_int
11047
Info (332146): Worst-case hold slack is -2.980
11048
    Info (332119):     Slack End Point TNS Clock
11049
    Info (332119): ========= ============= =====================
11050
    Info (332119):    -2.980      -135.492 SW[16]
11051
    Info (332119):    -0.439        -0.439 ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered
11052
    Info (332119):    -0.254        -0.669 CLOCK_50
11053
    Info (332119):     0.033         0.000 T80se:z80_inst|MREQ_n
11054
    Info (332119):     0.079         0.000 clk_div:clkdiv_inst|clock_100Khz_int
11055
    Info (332119):     0.098         0.000 clk_div:clkdiv_inst|clock_10Khz_int
11056
    Info (332119):     0.189         0.000 clk_div:clkdiv_inst|clock_1Mhz_int
11057
    Info (332119):     0.343         0.000 clk_div:clkdiv_inst|clock_25MHz
11058
    Info (332119):     0.440         0.000 clk_div:clkdiv_inst|clock_1Khz_int
11059
    Info (332119):     0.454         0.000 clk_div:clkdiv_inst|clock_100Hz
11060
    Info (332119):     0.472         0.000 clk_div:clkdiv_inst|clock_25Mhz_int
11061
    Info (332119):     2.342         0.000 LCD:lcd_inst|clk_400hz_enable
11062
Info (332146): Worst-case recovery slack is -2.630
11063
    Info (332119):     Slack End Point TNS Clock
11064
    Info (332119): ========= ============= =====================
11065
    Info (332119):    -2.630        -2.630 ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set
11066
Info (332146): Worst-case removal slack is 3.090
11067
    Info (332119):     Slack End Point TNS Clock
11068
    Info (332119): ========= ============= =====================
11069
    Info (332119):     3.090         0.000 ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set
11070
Info (332146): Worst-case minimum pulse width slack is -3.000
11071
    Info (332119):     Slack End Point TNS Clock
11072
    Info (332119): ========= ============= =====================
11073
    Info (332119):    -3.000      -612.867 SW[16]
11074
    Info (332119):    -3.000      -141.780 CLOCK_50
11075
    Info (332119):    -2.693      -178.641 clk_div:clkdiv_inst|clock_25MHz
11076
    Info (332119):    -1.285       -29.555 ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered
11077
    Info (332119):    -1.285       -10.280 LCD:lcd_inst|clk_400hz_enable
11078
    Info (332119):    -1.285        -7.710 clk_div:clkdiv_inst|clock_25Mhz_int
11079
    Info (332119):    -1.285        -5.140 clk_div:clkdiv_inst|clock_100Khz_int
11080
    Info (332119):    -1.285        -5.140 clk_div:clkdiv_inst|clock_10Khz_int
11081
    Info (332119):    -1.285        -5.140 clk_div:clkdiv_inst|clock_1Khz_int
11082
    Info (332119):    -1.285        -5.140 clk_div:clkdiv_inst|clock_1Mhz_int
11083
    Info (332119):    -1.285        -2.570 clk_div:clkdiv_inst|clock_100Hz
11084
    Info (332119):    -1.285        -1.285 ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set
11085
    Info (332119):     0.320         0.000 T80se:z80_inst|MREQ_n
11086
Info: Analyzing Slow 1200mV 0C Model
11087
Info (334003): Started post-fitting delay annotation
11088
Info (334004): Delay annotation completed successfully
11089
Info (332097): The following timing edges are non-unate.  TimeQuest will assume pos-unate behavior for these edges in the clock network.
11090
    Info (332098): Cell: Clk_Z80  from: dataa  to: combout
11091
Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties.
11092
Critical Warning (332148): Timing requirements not met
11093
    Info (11105): For recommendations on closing timing, run Report Timing Closure Recommendations in the TimeQuest Timing Analyzer.
11094
Info (332146): Worst-case setup slack is -11.396
11095
    Info (332119):     Slack End Point TNS Clock
11096
    Info (332119): ========= ============= =====================
11097
    Info (332119):   -11.396     -3408.529 SW[16]
11098
    Info (332119):   -10.487       -79.038 LCD:lcd_inst|clk_400hz_enable
11099
    Info (332119):    -7.105      -160.429 CLOCK_50
11100
    Info (332119):    -5.089      -225.410 clk_div:clkdiv_inst|clock_25MHz
11101
    Info (332119):    -4.660        -9.320 clk_div:clkdiv_inst|clock_100Hz
11102
    Info (332119):    -2.600      -454.970 T80se:z80_inst|MREQ_n
11103
    Info (332119):    -1.971       -33.232 ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered
11104
    Info (332119):    -0.703        -3.550 clk_div:clkdiv_inst|clock_25Mhz_int
11105
    Info (332119):    -0.480        -0.638 clk_div:clkdiv_inst|clock_1Mhz_int
11106
    Info (332119):    -0.373        -0.434 clk_div:clkdiv_inst|clock_100Khz_int
11107
    Info (332119):    -0.370        -0.416 clk_div:clkdiv_inst|clock_10Khz_int
11108
    Info (332119):    -0.073        -0.140 clk_div:clkdiv_inst|clock_1Khz_int
11109
Info (332146): Worst-case hold slack is -2.883
11110
    Info (332119):     Slack End Point TNS Clock
11111
    Info (332119): ========= ============= =====================
11112
    Info (332119):    -2.883      -132.381 SW[16]
11113
    Info (332119):    -0.303        -0.303 ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered
11114
    Info (332119):    -0.171        -0.488 CLOCK_50
11115
    Info (332119):     0.073         0.000 clk_div:clkdiv_inst|clock_100Khz_int
11116
    Info (332119):     0.100         0.000 clk_div:clkdiv_inst|clock_10Khz_int
11117
    Info (332119):     0.181         0.000 clk_div:clkdiv_inst|clock_1Mhz_int
11118
    Info (332119):     0.336         0.000 T80se:z80_inst|MREQ_n
11119
    Info (332119):     0.348         0.000 clk_div:clkdiv_inst|clock_25MHz
11120
    Info (332119):     0.387         0.000 clk_div:clkdiv_inst|clock_1Khz_int
11121
    Info (332119):     0.409         0.000 clk_div:clkdiv_inst|clock_100Hz
11122
    Info (332119):     0.426         0.000 clk_div:clkdiv_inst|clock_25Mhz_int
11123
    Info (332119):     2.100         0.000 LCD:lcd_inst|clk_400hz_enable
11124
Info (332146): Worst-case recovery slack is -2.255
11125
    Info (332119):     Slack End Point TNS Clock
11126
    Info (332119): ========= ============= =====================
11127
    Info (332119):    -2.255        -2.255 ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set
11128
Info (332146): Worst-case removal slack is 2.789
11129
    Info (332119):     Slack End Point TNS Clock
11130
    Info (332119): ========= ============= =====================
11131
    Info (332119):     2.789         0.000 ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set
11132
Info (332146): Worst-case minimum pulse width slack is -3.000
11133
    Info (332119):     Slack End Point TNS Clock
11134
    Info (332119): ========= ============= =====================
11135
    Info (332119):    -3.000      -609.320 SW[16]
11136
    Info (332119):    -3.000      -141.780 CLOCK_50
11137
    Info (332119):    -2.649      -176.793 clk_div:clkdiv_inst|clock_25MHz
11138
    Info (332119):    -1.285       -29.555 ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered
11139
    Info (332119):    -1.285       -10.280 LCD:lcd_inst|clk_400hz_enable
11140
    Info (332119):    -1.285        -7.710 clk_div:clkdiv_inst|clock_25Mhz_int
11141
    Info (332119):    -1.285        -5.140 clk_div:clkdiv_inst|clock_100Khz_int
11142
    Info (332119):    -1.285        -5.140 clk_div:clkdiv_inst|clock_10Khz_int
11143
    Info (332119):    -1.285        -5.140 clk_div:clkdiv_inst|clock_1Khz_int
11144
    Info (332119):    -1.285        -5.140 clk_div:clkdiv_inst|clock_1Mhz_int
11145
    Info (332119):    -1.285        -2.570 clk_div:clkdiv_inst|clock_100Hz
11146
    Info (332119):    -1.285        -1.285 ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set
11147
    Info (332119):    -0.004        -0.012 T80se:z80_inst|MREQ_n
11148
Info: Analyzing Fast 1200mV 0C Model
11149
Info (332097): The following timing edges are non-unate.  TimeQuest will assume pos-unate behavior for these edges in the clock network.
11150
    Info (332098): Cell: Clk_Z80  from: dataa  to: combout
11151
Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties.
11152
Critical Warning (332148): Timing requirements not met
11153
    Info (11105): For recommendations on closing timing, run Report Timing Closure Recommendations in the TimeQuest Timing Analyzer.
11154
Info (332146): Worst-case setup slack is -6.129
11155
    Info (332119):     Slack End Point TNS Clock
11156
    Info (332119): ========= ============= =====================
11157
    Info (332119):    -6.129       -46.157 LCD:lcd_inst|clk_400hz_enable
11158
    Info (332119):    -5.861     -1703.860 SW[16]
11159
    Info (332119):    -3.425       -58.119 CLOCK_50
11160
    Info (332119):    -2.263        -4.526 clk_div:clkdiv_inst|clock_100Hz
11161
    Info (332119):    -2.065       -71.920 clk_div:clkdiv_inst|clock_25MHz
11162
    Info (332119):    -0.791       -73.474 T80se:z80_inst|MREQ_n
11163
    Info (332119):    -0.602        -6.551 ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered
11164
    Info (332119):     0.090         0.000 clk_div:clkdiv_inst|clock_25Mhz_int
11165
    Info (332119):     0.108         0.000 clk_div:clkdiv_inst|clock_100Khz_int
11166
    Info (332119):     0.158         0.000 clk_div:clkdiv_inst|clock_1Mhz_int
11167
    Info (332119):     0.230         0.000 clk_div:clkdiv_inst|clock_10Khz_int
11168
    Info (332119):     0.428         0.000 clk_div:clkdiv_inst|clock_1Khz_int
11169
Info (332146): Worst-case hold slack is -1.533
11170
    Info (332119):     Slack End Point TNS Clock
11171
    Info (332119): ========= ============= =====================
11172
    Info (332119):    -1.533       -67.021 SW[16]
11173
    Info (332119):    -0.426        -0.426 ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered
11174
    Info (332119):    -0.335        -2.123 CLOCK_50
11175
    Info (332119):    -0.248        -1.794 T80se:z80_inst|MREQ_n
11176
    Info (332119):    -0.008        -0.008 clk_div:clkdiv_inst|clock_100Khz_int
11177
    Info (332119):     0.010         0.000 clk_div:clkdiv_inst|clock_10Khz_int
11178
    Info (332119):     0.061         0.000 clk_div:clkdiv_inst|clock_1Mhz_int
11179
    Info (332119):     0.140         0.000 clk_div:clkdiv_inst|clock_25MHz
11180
    Info (332119):     0.201         0.000 clk_div:clkdiv_inst|clock_1Khz_int
11181
    Info (332119):     0.210         0.000 clk_div:clkdiv_inst|clock_100Hz
11182
    Info (332119):     0.214         0.000 clk_div:clkdiv_inst|clock_25Mhz_int
11183
    Info (332119):     1.167         0.000 LCD:lcd_inst|clk_400hz_enable
11184
Info (332146): Worst-case recovery slack is -0.904
11185
    Info (332119):     Slack End Point TNS Clock
11186
    Info (332119): ========= ============= =====================
11187
    Info (332119):    -0.904        -0.904 ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set
11188
Info (332146): Worst-case removal slack is 1.565
11189
    Info (332119):     Slack End Point TNS Clock
11190
    Info (332119): ========= ============= =====================
11191
    Info (332119):     1.565         0.000 ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set
11192
Info (332146): Worst-case minimum pulse width slack is -3.000
11193
    Info (332119):     Slack End Point TNS Clock
11194
    Info (332119): ========= ============= =====================
11195
    Info (332119):    -3.000      -864.856 SW[16]
11196
    Info (332119):    -3.000      -141.504 CLOCK_50
11197
    Info (332119):    -1.000       -93.000 clk_div:clkdiv_inst|clock_25MHz
11198
    Info (332119):    -1.000       -23.000 ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|keyboard_clk_filtered
11199
    Info (332119):    -1.000        -8.000 LCD:lcd_inst|clk_400hz_enable
11200
    Info (332119):    -1.000        -6.000 clk_div:clkdiv_inst|clock_25Mhz_int
11201
    Info (332119):    -1.000        -4.000 clk_div:clkdiv_inst|clock_100Khz_int
11202
    Info (332119):    -1.000        -4.000 clk_div:clkdiv_inst|clock_10Khz_int
11203
    Info (332119):    -1.000        -4.000 clk_div:clkdiv_inst|clock_1Khz_int
11204
    Info (332119):    -1.000        -4.000 clk_div:clkdiv_inst|clock_1Mhz_int
11205
    Info (332119):    -1.000        -2.000 clk_div:clkdiv_inst|clock_100Hz
11206
    Info (332119):    -1.000        -1.000 ps2kbd:ps2_kbd_inst|keyboard:kbd_inst|ready_set
11207
    Info (332119):    -0.120        -9.204 T80se:z80_inst|MREQ_n
11208
Info (332102): Design is not fully constrained for setup requirements
11209
Info (332102): Design is not fully constrained for hold requirements
11210
Info: Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 6 warnings
11211
    Info: Peak virtual memory: 571 megabytes
11212
    Info: Processing ended: Sun Jun 19 13:46:22 2016
11213
    Info: Elapsed time: 00:00:48
11214
    Info: Total CPU time (on all processors): 00:00:30
11215
 
11216
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.