OpenCores
URL https://opencores.org/ocsvn/z80soc/z80soc/trunk

Subversion Repositories z80soc

[/] [z80soc/] [trunk/] [V0.7.3/] [DE2115/] [db/] [073DE2115d.sta.qmsg] - Blame information for rev 46

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 46 rrred
{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" {  } {  } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1466193053381 ""}
2
{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 64-Bit " "Running Quartus II 64-Bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" {  } {  } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1466193053386 ""} { "Info" "IQEXE_START_BANNER_TIME" "Fri Jun 17 12:50:52 2016 " "Processing started: Fri Jun 17 12:50:52 2016" {  } {  } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1466193053386 ""}  } {  } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1466193053386 ""}
3
{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta z80soc -c 073DE2115d " "Command: quartus_sta z80soc -c 073DE2115d" {  } {  } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1466193053388 ""}
4
{ "Info" "0" "" "qsta_default_script.tcl version: #1" {  } {  } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1466193053597 ""}
5
{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" {  } {  } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1466193054504 ""}
6
{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" {  } {  } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1466193055865 ""}
7
{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" {  } {  } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1466193055865 ""}
8
{ "Warning" "WTDB_ANALYZE_COMB_LATCHES" "256 " "TimeQuest Timing Analyzer is analyzing 256 combinational loops as latches." {  } {  } 0 335093 "TimeQuest Timing Analyzer is analyzing %1!d! combinational loops as latches." 0 0 "Quartus II" 0 -1 1466193060304 ""}
9
{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "073DE2115d.sdc " "Synopsys Design Constraints File file not found: '073DE2115d.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." {  } {  } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1466193060711 ""}
10
{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" {  } {  } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1466193060714 ""}
11
{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name SW\[15\] SW\[15\] " "create_clock -period 1.000 -name SW\[15\] SW\[15\]" {  } {  } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1466193060741 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name CLOCK_50 CLOCK_50 " "create_clock -period 1.000 -name CLOCK_50 CLOCK_50" {  } {  } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1466193060741 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name clk_div:clkdiv_inst\|clock_25MHz clk_div:clkdiv_inst\|clock_25MHz " "create_clock -period 1.000 -name clk_div:clkdiv_inst\|clock_25MHz clk_div:clkdiv_inst\|clock_25MHz" {  } {  } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1466193060741 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name clk_div:clkdiv_inst\|clock_10Khz_int clk_div:clkdiv_inst\|clock_10Khz_int " "create_clock -period 1.000 -name clk_div:clkdiv_inst\|clock_10Khz_int clk_div:clkdiv_inst\|clock_10Khz_int" {  } {  } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1466193060741 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name clk_div:clkdiv_inst\|clock_100Khz_int clk_div:clkdiv_inst\|clock_100Khz_int " "create_clock -period 1.000 -name clk_div:clkdiv_inst\|clock_100Khz_int clk_div:clkdiv_inst\|clock_100Khz_int" {  } {  } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1466193060741 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name clk_div:clkdiv_inst\|clock_1Mhz_int clk_div:clkdiv_inst\|clock_1Mhz_int " "create_clock -period 1.000 -name clk_div:clkdiv_inst\|clock_1Mhz_int clk_div:clkdiv_inst\|clock_1Mhz_int" {  } {  } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1466193060741 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name clk_div:clkdiv_inst\|clock_25Mhz_int clk_div:clkdiv_inst\|clock_25Mhz_int " "create_clock -period 1.000 -name clk_div:clkdiv_inst\|clock_25Mhz_int clk_div:clkdiv_inst\|clock_25Mhz_int" {  } {  } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1466193060741 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name clk_div:clkdiv_inst\|clock_1Khz_int clk_div:clkdiv_inst\|clock_1Khz_int " "create_clock -period 1.000 -name clk_div:clkdiv_inst\|clock_1Khz_int clk_div:clkdiv_inst\|clock_1Khz_int" {  } {  } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1466193060741 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name clk_div:clkdiv_inst\|clock_100Hz clk_div:clkdiv_inst\|clock_100Hz " "create_clock -period 1.000 -name clk_div:clkdiv_inst\|clock_100Hz clk_div:clkdiv_inst\|clock_100Hz" {  } {  } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1466193060741 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name ps2kbd:ps2_kbd_inst\|keyboard:kbd_inst\|keyboard_clk_filtered ps2kbd:ps2_kbd_inst\|keyboard:kbd_inst\|keyboard_clk_filtered " "create_clock -period 1.000 -name ps2kbd:ps2_kbd_inst\|keyboard:kbd_inst\|keyboard_clk_filtered ps2kbd:ps2_kbd_inst\|keyboard:kbd_inst\|keyboard_clk_filtered" {  } {  } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1466193060741 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name ps2kbd:ps2_kbd_inst\|keyboard:kbd_inst\|ready_set ps2kbd:ps2_kbd_inst\|keyboard:kbd_inst\|ready_set " "create_clock -period 1.000 -name ps2kbd:ps2_kbd_inst\|keyboard:kbd_inst\|ready_set ps2kbd:ps2_kbd_inst\|keyboard:kbd_inst\|ready_set" {  } {  } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1466193060741 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name T80se:z80_inst\|MREQ_n T80se:z80_inst\|MREQ_n " "create_clock -period 1.000 -name T80se:z80_inst\|MREQ_n T80se:z80_inst\|MREQ_n" {  } {  } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1466193060741 ""}  } {  } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1466193060741 ""}
12
{ "Info" "ISTA_UNKNOWN_UNATE_EDGE_ASSUMED_POS" "" "The following timing edges are non-unate.  TimeQuest will assume pos-unate behavior for these edges in the clock network." { { "Info" "ISTA_CLOCK_MGR_INFO" "Cell: Clk_Z80  from: datab  to: combout " "Cell: Clk_Z80  from: datab  to: combout" {  } {  } 0 332098 "%1!s!" 0 0 "Quartus II" 0 -1 1466193062074 ""} { "Info" "ISTA_CLOCK_MGR_INFO" "Cell: Clk_Z80  from: datad  to: combout " "Cell: Clk_Z80  from: datad  to: combout" {  } {  } 0 332098 "%1!s!" 0 0 "Quartus II" 0 -1 1466193062074 ""} { "Info" "ISTA_CLOCK_MGR_INFO" "Cell: Clk_Z80~0  from: datab  to: combout " "Cell: Clk_Z80~0  from: datab  to: combout" {  } {  } 0 332098 "%1!s!" 0 0 "Quartus II" 0 -1 1466193062074 ""}  } {  } 0 332097 "The following timing edges are non-unate.  TimeQuest will assume pos-unate behavior for these edges in the clock network." 0 0 "Quartus II" 0 -1 1466193062074 ""}
13
{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" {  } {  } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Quartus II" 0 -1 1466193062110 ""}
14
{ "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_INFO" "Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties. " "Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties." {  } {  } 0 332123 "%1!s!" 0 0 "Quartus II" 0 -1 1466193062122 ""}
15
{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" {  } {  } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1466193062140 ""}
16
{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { { "Info" "ISTA_TIMING_NOT_MET_USE_ADA" "" "For recommendations on closing timing, run Report Timing Closure Recommendations in the TimeQuest Timing Analyzer." {  } {  } 0 11105 "For recommendations on closing timing, run Report Timing Closure Recommendations in the TimeQuest Timing Analyzer." 0 0 "Quartus II" 0 -1 1466193063664 ""}  } {  } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1466193063664 ""}
17
{ "Info" "ISTA_WORST_CASE_SLACK" "setup -18.853 " "Worst-case setup slack is -18.853" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    Slack End Point TNS Clock  " "    Slack End Point TNS Clock " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1466193063721 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1466193063721 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "  -18.853     -6188.176 clk_div:clkdiv_inst\|clock_100Hz  " "  -18.853     -6188.176 clk_div:clkdiv_inst\|clock_100Hz " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1466193063721 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "  -15.271     -4622.675 SW\[15\]  " "  -15.271     -4622.675 SW\[15\] " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1466193063721 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -9.393      -279.199 CLOCK_50  " "   -9.393      -279.199 CLOCK_50 " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1466193063721 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -6.449     -1219.866 T80se:z80_inst\|MREQ_n  " "   -6.449     -1219.866 T80se:z80_inst\|MREQ_n " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1466193063721 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -5.761      -272.854 clk_div:clkdiv_inst\|clock_25MHz  " "   -5.761      -272.854 clk_div:clkdiv_inst\|clock_25MHz " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1466193063721 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -2.090       -36.933 ps2kbd:ps2_kbd_inst\|keyboard:kbd_inst\|keyboard_clk_filtered  " "   -2.090       -36.933 ps2kbd:ps2_kbd_inst\|keyboard:kbd_inst\|keyboard_clk_filtered " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1466193063721 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -0.895        -3.964 clk_div:clkdiv_inst\|clock_25Mhz_int  " "   -0.895        -3.964 clk_div:clkdiv_inst\|clock_25Mhz_int " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1466193063721 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -0.665        -0.945 clk_div:clkdiv_inst\|clock_1Mhz_int  " "   -0.665        -0.945 clk_div:clkdiv_inst\|clock_1Mhz_int " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1466193063721 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -0.522        -0.875 clk_div:clkdiv_inst\|clock_10Khz_int  " "   -0.522        -0.875 clk_div:clkdiv_inst\|clock_10Khz_int " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1466193063721 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -0.506        -0.831 clk_div:clkdiv_inst\|clock_100Khz_int  " "   -0.506        -0.831 clk_div:clkdiv_inst\|clock_100Khz_int " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1466193063721 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -0.320        -0.600 clk_div:clkdiv_inst\|clock_1Khz_int  " "   -0.320        -0.600 clk_div:clkdiv_inst\|clock_1Khz_int " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1466193063721 ""}  } {  } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1466193063721 ""}
18
{ "Info" "ISTA_WORST_CASE_SLACK" "hold -5.753 " "Worst-case hold slack is -5.753" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    Slack End Point TNS Clock  " "    Slack End Point TNS Clock " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1466193064061 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1466193064061 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -5.753      -586.538 SW\[15\]  " "   -5.753      -586.538 SW\[15\] " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1466193064061 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -1.638       -15.071 clk_div:clkdiv_inst\|clock_100Hz  " "   -1.638       -15.071 clk_div:clkdiv_inst\|clock_100Hz " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1466193064061 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -1.628       -63.193 T80se:z80_inst\|MREQ_n  " "   -1.628       -63.193 T80se:z80_inst\|MREQ_n " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1466193064061 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -0.418        -0.418 ps2kbd:ps2_kbd_inst\|keyboard:kbd_inst\|keyboard_clk_filtered  " "   -0.418        -0.418 ps2kbd:ps2_kbd_inst\|keyboard:kbd_inst\|keyboard_clk_filtered " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1466193064061 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -0.319        -0.319 clk_div:clkdiv_inst\|clock_1Mhz_int  " "   -0.319        -0.319 clk_div:clkdiv_inst\|clock_1Mhz_int " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1466193064061 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -0.260        -0.703 CLOCK_50  " "   -0.260        -0.703 CLOCK_50 " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1466193064061 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -0.127        -0.127 clk_div:clkdiv_inst\|clock_10Khz_int  " "   -0.127        -0.127 clk_div:clkdiv_inst\|clock_10Khz_int " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1466193064061 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    0.048         0.000 clk_div:clkdiv_inst\|clock_25MHz  " "    0.048         0.000 clk_div:clkdiv_inst\|clock_25MHz " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1466193064061 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    0.106         0.000 clk_div:clkdiv_inst\|clock_100Khz_int  " "    0.106         0.000 clk_div:clkdiv_inst\|clock_100Khz_int " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1466193064061 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    0.440         0.000 clk_div:clkdiv_inst\|clock_1Khz_int  " "    0.440         0.000 clk_div:clkdiv_inst\|clock_1Khz_int " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1466193064061 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    0.477         0.000 clk_div:clkdiv_inst\|clock_25Mhz_int  " "    0.477         0.000 clk_div:clkdiv_inst\|clock_25Mhz_int " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1466193064061 ""}  } {  } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1466193064061 ""}
19
{ "Info" "ISTA_WORST_CASE_SLACK" "recovery -2.384 " "Worst-case recovery slack is -2.384" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    Slack End Point TNS Clock  " "    Slack End Point TNS Clock " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1466193064072 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1466193064072 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -2.384        -2.384 ps2kbd:ps2_kbd_inst\|keyboard:kbd_inst\|ready_set  " "   -2.384        -2.384 ps2kbd:ps2_kbd_inst\|keyboard:kbd_inst\|ready_set " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1466193064072 ""}  } {  } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1466193064072 ""}
20
{ "Info" "ISTA_WORST_CASE_SLACK" "removal 2.874 " "Worst-case removal slack is 2.874" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    Slack End Point TNS Clock  " "    Slack End Point TNS Clock " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1466193064083 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1466193064083 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    2.874         0.000 ps2kbd:ps2_kbd_inst\|keyboard:kbd_inst\|ready_set  " "    2.874         0.000 ps2kbd:ps2_kbd_inst\|keyboard:kbd_inst\|ready_set " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1466193064083 ""}  } {  } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1466193064083 ""}
21
{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -3.000 " "Worst-case minimum pulse width slack is -3.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    Slack End Point TNS Clock  " "    Slack End Point TNS Clock " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1466193064096 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1466193064096 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -3.000     -1318.219 SW\[15\]  " "   -3.000     -1318.219 SW\[15\] " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1466193064096 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -3.000      -143.065 CLOCK_50  " "   -3.000      -143.065 CLOCK_50 " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1466193064096 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -2.693      -619.205 clk_div:clkdiv_inst\|clock_100Hz  " "   -2.693      -619.205 clk_div:clkdiv_inst\|clock_100Hz " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1466193064096 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -2.693      -178.641 clk_div:clkdiv_inst\|clock_25MHz  " "   -2.693      -178.641 clk_div:clkdiv_inst\|clock_25MHz " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1466193064096 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -1.285       -29.555 ps2kbd:ps2_kbd_inst\|keyboard:kbd_inst\|keyboard_clk_filtered  " "   -1.285       -29.555 ps2kbd:ps2_kbd_inst\|keyboard:kbd_inst\|keyboard_clk_filtered " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1466193064096 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -1.285        -7.710 clk_div:clkdiv_inst\|clock_25Mhz_int  " "   -1.285        -7.710 clk_div:clkdiv_inst\|clock_25Mhz_int " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1466193064096 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -1.285        -5.140 clk_div:clkdiv_inst\|clock_100Khz_int  " "   -1.285        -5.140 clk_div:clkdiv_inst\|clock_100Khz_int " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1466193064096 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -1.285        -5.140 clk_div:clkdiv_inst\|clock_10Khz_int  " "   -1.285        -5.140 clk_div:clkdiv_inst\|clock_10Khz_int " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1466193064096 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -1.285        -5.140 clk_div:clkdiv_inst\|clock_1Khz_int  " "   -1.285        -5.140 clk_div:clkdiv_inst\|clock_1Khz_int " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1466193064096 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -1.285        -5.140 clk_div:clkdiv_inst\|clock_1Mhz_int  " "   -1.285        -5.140 clk_div:clkdiv_inst\|clock_1Mhz_int " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1466193064096 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -1.285        -1.285 ps2kbd:ps2_kbd_inst\|keyboard:kbd_inst\|ready_set  " "   -1.285        -1.285 ps2kbd:ps2_kbd_inst\|keyboard:kbd_inst\|ready_set " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1466193064096 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    0.261         0.000 T80se:z80_inst\|MREQ_n  " "    0.261         0.000 T80se:z80_inst\|MREQ_n " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1466193064096 ""}  } {  } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1466193064096 ""}
22
{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" {  } {  } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1466193066994 ""}
23
{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" {  } {  } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1466193066997 ""}
24
{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 4 s Quartus II 64-Bit " "Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 4 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "527 " "Peak virtual memory: 527 megabytes" {  } {  } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1466193067564 ""} { "Info" "IQEXE_END_BANNER_TIME" "Fri Jun 17 12:51:07 2016 " "Processing ended: Fri Jun 17 12:51:07 2016" {  } {  } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1466193067564 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:15 " "Elapsed time: 00:00:15" {  } {  } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1466193067564 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:12 " "Total CPU time (on all processors): 00:00:12" {  } {  } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1466193067564 ""}  } {  } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1466193067564 ""}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.