OpenCores
URL https://opencores.org/ocsvn/z80soc/z80soc/trunk

Subversion Repositories z80soc

[/] [z80soc/] [trunk/] [V0.7.3/] [DE2115/] [vhdl/] [SSRAM.vhd] - Blame information for rev 46

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 46 rrred
--
2
-- Inferrable Synchronous SRAM for XST synthesis
3
--
4
-- Version : 0220
5
--
6
-- Copyright (c) 2002 Daniel Wallner (jesus@opencores.org)
7
--
8
-- All rights reserved
9
--
10
-- Redistribution and use in source and synthezised forms, with or without
11
-- modification, are permitted provided that the following conditions are met:
12
--
13
-- Redistributions of source code must retain the above copyright notice,
14
-- this list of conditions and the following disclaimer.
15
--
16
-- Redistributions in synthesized form must reproduce the above copyright
17
-- notice, this list of conditions and the following disclaimer in the
18
-- documentation and/or other materials provided with the distribution.
19
--
20
-- Neither the name of the author nor the names of other contributors may
21
-- be used to endorse or promote products derived from this software without
22
-- specific prior written permission.
23
--
24
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
25
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
26
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
27
-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE
28
-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
29
-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
30
-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
31
-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
32
-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
33
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
34
-- POSSIBILITY OF SUCH DAMAGE.
35
--
36
-- Please report bugs to the author, but before you do so, please
37
-- make sure that this is not a derivative work and that
38
-- you have the latest version of this file.
39
--
40
-- The latest version of this file can be found at:
41
--      http://www.opencores.org/cvsweb.shtml/t51/
42
--
43
-- Limitations :
44
--
45
-- File history :
46
--      0208 : Initial release
47
--      0218 : Fixed data out at write
48
--      0220 : Added support for XST
49
 
50
library IEEE;
51
use IEEE.std_logic_1164.all;
52
use IEEE.numeric_std.all;
53
 
54
entity SSRAM is
55
        generic(
56
                AddrWidth       : integer := 11;
57
                DataWidth       : integer := 8
58
        );
59
        port(
60
                Clk                     : in std_logic;
61
                CE_n            : in std_logic;
62
                WE_n            : in std_logic;
63
                A                       : in std_logic_vector(AddrWidth - 1 downto 0);
64
                DIn                     : in std_logic_vector(DataWidth - 1 downto 0);
65
                DOut            : out std_logic_vector(DataWidth - 1 downto 0)
66
        );
67
end SSRAM;
68
 
69
architecture behaviour of SSRAM is
70
 
71
        type Memory_Image is array (natural range <>) of std_logic_vector(DataWidth - 1 downto 0);
72
        signal  RAM             : Memory_Image(0 to 2 ** AddrWidth - 1);
73
        signal  A_r             : std_logic_vector(AddrWidth - 1 downto 0);
74
 
75
begin
76
 
77
        process (Clk)
78
        begin
79
                if Clk'event and Clk = '1' then
80
                        if (CE_n nor WE_n) = '1' then
81
                                RAM(to_integer(unsigned(A))) <= DIn;
82
                        end if;
83
                        A_r <= A;
84
                end if;
85
        end process;
86
 
87
        DOut <= RAM(to_integer(unsigned(A_r)))
88
-- pragma translate_off
89
                        when not is_x(A_r) else (others => '-')
90
-- pragma translate_on
91
        ;
92
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.