OpenCores
URL https://opencores.org/ocsvn/z80soc/z80soc/trunk

Subversion Repositories z80soc

[/] [z80soc/] [trunk/] [V0.7.3/] [DE2115/] [vhdl/] [decoder_7seg.vhd] - Blame information for rev 46

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 46 rrred
-------------------------------------------------------------------------------------------------
2
-- This design is part of:
3
-- Z80SoC (Z80 System on Chip)
4
-- Ronivon Candido Costa
5
-- ronivon.costa@gmail.com
6
--
7
 
8
LIBRARY IEEE;
9
USE  IEEE.STD_LOGIC_1164.all;
10
USE  IEEE.STD_LOGIC_UNSIGNED.all;
11
 
12
entity decoder_7seg is
13
        port
14
        (
15
                NUMBER          : in   std_logic_vector(3 downto 0);
16
                HEX_DISP        : out  std_logic_vector(6 downto 0)
17
        );
18
end decoder_7seg;
19
 
20
architecture rtl of decoder_7seg is
21
begin
22
process(NUMBER)
23
begin
24
        case NUMBER is
25
                --0 to 9
26
                when "0000" => HEX_DISP <= "1000000";
27
                when "0001" => HEX_DISP <= "1111001";
28
                when "0010" => HEX_DISP <= "0100100";
29
                when "0011" => HEX_DISP <= "0110000";
30
                when "0100" => HEX_DISP <= "0011001";
31
                when "0101" => HEX_DISP <= "0010010";
32
                when "0110" => HEX_DISP <= "0000011";
33
                when "0111" => HEX_DISP <= "1111000";
34
                when "1000" => HEX_DISP <= "0000000";
35
                when "1001" => HEX_DISP <= "0011000";
36
                -- A to F
37
                when "1010" => HEX_DISP <= "0001000";
38
                when "1011" => HEX_DISP <= "0000011";
39
                when "1100" => HEX_DISP <= "1000110";
40
                when "1101" => HEX_DISP <= "0100001";
41
                when "1110" => HEX_DISP <= "0000110";
42
                when "1111" => HEX_DISP <= "0001110";
43
                when others => HEX_DISP <= "1111111";
44
        end case;
45
end process;
46
end rtl;
47
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.