OpenCores
URL https://opencores.org/ocsvn/z80soc/z80soc/trunk

Subversion Repositories z80soc

[/] [z80soc/] [trunk/] [V0.7.3/] [DE2115/] [vhdl/] [z80soc_pack.vhd] - Blame information for rev 46

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 46 rrred
-------------------------------------------------------------------------------------------------
2
-- This design is part of:
3
-- Z80SoC (Z80 System on Chip)
4
-- Ronivon Candido Costa
5
-- ronivon.costa@gmail.com
6
--
7
 
8
library ieee;
9
use ieee.std_logic_1164.all;
10
 
11
package z80soc_pack is
12
 
13
    -- 0 = DE1, 1 = S3E, 2 = DE2, 3 = O3S
14
        constant Z80SOC_Arch_value      : std_logic_vector(2 downto 0) := "010";
15
 
16
        -- Generic constrainsts
17
        constant random_width      : integer := 16; -- size of random number to generate
18
        constant vid_cols          : integer := 80; -- video number of columns
19
        constant vid_lines         : integer := 60; -- video number of lines
20
        constant pixelsxchar       : integer := 1;
21
        constant Z80SOC_Arch_addr  : std_logic_vector(15 downto 0)  := x"57DF";
22
        constant KEYPRESS_addr          : std_logic_vector(15 downto 0) := x"57DE";
23
        constant LCD_addr          : std_logic_vector(15 downto 0)  := x"57DC";
24
        constant RAMTOP_addr       : std_logic_vector(15 downto 0)  := x"57DA";
25
        constant RAMBOTT_addr           : std_logic_vector(15 downto 0) := x"57D8";
26
        constant CHARRAM_addr           : std_logic_vector(15 downto 0) := x"57D6";
27
        constant VRAM_addr                      : std_logic_vector(15 downto 0) := x"57D4";
28
        constant STACK_addr                     : std_logic_vector(15 downto 0) := x"57D2";
29
        constant LCD_value         : std_logic_vector(15 downto 0)  := x"57E0";
30
        constant RAMBOTT_value     : std_logic_vector(15 downto 0)  := x"6000";
31
        constant VRAM_value        : std_logic_vector(15 downto 0)  := x"4000";
32
        constant CHARRAM_value     : std_logic_vector(15 downto 0)  := x"5800";
33
 
34
        -- DE1
35
        --constant SRAM_width           : integer := 17;
36
        --constant RAMTOP_value         : std_logic_vector(15 downto 0) := x"8FFF";
37
 
38
        -- DE2-115
39
        constant SRAM_width        : integer := 20;
40
        constant RAMTOP_value      : std_logic_vector(15 downto 0) := x"FFFF";
41
        constant STACK_value       : std_logic_vector(15 downto 0) := x"FFFF";
42
 
43
        --Open3S500E
44
        --constant GLCD_value         : std_logic_vector(15 downto 0) := x"UUUU";
45
    --constant SRAM_width         : integer := 14;
46
    --constant RAMTOP_value       : std_logic_vector(15 downto 0) := x"BFFF";
47
    --constant STACK_value        : std_logic_vector(15 downto 0) := x"BFFF";
48
 
49
end  z80soc_pack;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.