OpenCores
URL https://opencores.org/ocsvn/z80soc/z80soc/trunk

Subversion Repositories z80soc

[/] [z80soc/] [trunk/] [V0.7.3/] [ROMdata/] [romvhd.vhd] - Blame information for rev 46

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 46 rrred
-- File generated by hex2romvhdl.sh
2
-- by Ronivon C. Costa - ronivon.costa@gmail.com
3
-- Fri Jun 10 10:59:15 BRT 2016
4
--
5
library IEEE;
6
use IEEE.std_logic_1164.all;
7
use ieee.numeric_std.all;
8
--Library XilinxCoreLib;
9
 
10
entity rom is
11
        port(
12
                Clk        : in std_logic;
13
                A          : in std_logic_vector(13 downto 0);
14
                D          : out std_logic_vector(7 downto 0)
15
        );
16
end rom;
17
 
18
architecture behaviour of rom is
19
 
20
signal A_sig : std_logic_vector(13 downto 0);
21
 
22
begin
23
 
24
process (Clk)
25
begin
26
 if Clk'event and Clk = '1' then
27
    A_sig <= A;
28
 end if;
29
end process;
30
 
31
process (A_sig)
32
begin
33
    case to_integer(unsigned(A_sig)) is
34
             when 00000 => D <= x"3E";
35
             when 00001 => D <= x"BB";
36
             when 00002 => D <= x"D3";
37
             when 00003 => D <= x"01";
38
             when 00004 => D <= x"31";
39
             when 00005 => D <= x"00";
40
             when 00006 => D <= x"70";
41
             when 00007 => D <= x"3E";
42
             when 00008 => D <= x"44";
43
             when 00009 => D <= x"32";
44
             when 00016 => D <= x"3C";
45
             when 00017 => D <= x"32";
46
             when 00018 => D <= x"12";
47
             when 00019 => D <= x"42";
48
             when 00020 => D <= x"C3";
49
             when 00021 => D <= x"8E";
50
             when 00022 => D <= x"00";
51
             when 00023 => D <= x"CD";
52
             when 00024 => D <= x"CE";
53
             when 00025 => D <= x"00";
54
             when 00032 => D <= x"38";
55
             when 00033 => D <= x"01";
56
             when 00034 => D <= x"CB";
57
             when 00035 => D <= x"47";
58
             when 00036 => D <= x"C4";
59
             when 00037 => D <= x"41";
60
             when 00038 => D <= x"00";
61
             when 00039 => D <= x"CD";
62
             when 00040 => D <= x"38";
63
             when 00041 => D <= x"01";
64
             when 00048 => D <= x"38";
65
             when 00049 => D <= x"01";
66
             when 00050 => D <= x"CB";
67
             when 00051 => D <= x"57";
68
             when 00052 => D <= x"C4";
69
             when 00053 => D <= x"8E";
70
             when 00054 => D <= x"00";
71
             when 00055 => D <= x"CD";
72
             when 00056 => D <= x"38";
73
             when 00057 => D <= x"01";
74
             when 00064 => D <= x"DC";
75
             when 00065 => D <= x"2A";
76
             when 00066 => D <= x"DA";
77
             when 00067 => D <= x"57";
78
             when 00068 => D <= x"01";
79
             when 00069 => D <= x"00";
80
             when 00070 => D <= x"01";
81
             when 00071 => D <= x"ED";
82
             when 00072 => D <= x"42";
83
             when 00073 => D <= x"54";
84
             when 00080 => D <= x"00";
85
             when 00081 => D <= x"C9";
86
             when 00082 => D <= x"CD";
87
             when 00083 => D <= x"44";
88
             when 00084 => D <= x"01";
89
             when 00085 => D <= x"2A";
90
             when 00086 => D <= x"D4";
91
             when 00087 => D <= x"57";
92
             when 00088 => D <= x"CD";
93
             when 00089 => D <= x"25";
94
             when 00096 => D <= x"D4";
95
             when 00097 => D <= x"57";
96
             when 00098 => D <= x"CD";
97
             when 00099 => D <= x"91";
98
             when 00100 => D <= x"00";
99
             when 00101 => D <= x"C9";
100
             when 00102 => D <= x"CD";
101
             when 00103 => D <= x"1B";
102
             when 00104 => D <= x"01";
103
             when 00105 => D <= x"CD";
104
             when 00112 => D <= x"21";
105
             when 00113 => D <= x"00";
106
             when 00114 => D <= x"00";
107
             when 00115 => D <= x"2A";
108
             when 00116 => D <= x"D4";
109
             when 00117 => D <= x"57";
110
             when 00118 => D <= x"CD";
111
             when 00119 => D <= x"25";
112
             when 00120 => D <= x"01";
113
             when 00121 => D <= x"01";
114
             when 00128 => D <= x"CD";
115
             when 00129 => D <= x"91";
116
             when 00130 => D <= x"00";
117
             when 00131 => D <= x"CD";
118
             when 00132 => D <= x"1B";
119
             when 00133 => D <= x"01";
120
             when 00134 => D <= x"CD";
121
             when 00135 => D <= x"38";
122
             when 00136 => D <= x"01";
123
             when 00137 => D <= x"CB";
124
             when 00144 => D <= x"57";
125
             when 00145 => D <= x"54";
126
             when 00146 => D <= x"5D";
127
             when 00147 => D <= x"21";
128
             when 00148 => D <= x"9E";
129
             when 00149 => D <= x"00";
130
             when 00150 => D <= x"01";
131
             when 00151 => D <= x"20";
132
             when 00152 => D <= x"00";
133
             when 00153 => D <= x"ED";
134
             when 00160 => D <= x"20";
135
             when 00161 => D <= x"20";
136
             when 00162 => D <= x"20";
137
             when 00163 => D <= x"7A";
138
             when 00164 => D <= x"38";
139
             when 00165 => D <= x"30";
140
             when 00166 => D <= x"73";
141
             when 00167 => D <= x"6F";
142
             when 00168 => D <= x"63";
143
             when 00169 => D <= x"20";
144
             when 00176 => D <= x"20";
145
             when 00177 => D <= x"53";
146
             when 00178 => D <= x"70";
147
             when 00179 => D <= x"61";
148
             when 00180 => D <= x"72";
149
             when 00181 => D <= x"74";
150
             when 00182 => D <= x"61";
151
             when 00183 => D <= x"6E";
152
             when 00184 => D <= x"2D";
153
             when 00185 => D <= x"33";
154
             when 00192 => D <= x"01";
155
             when 00193 => D <= x"CB";
156
             when 00194 => D <= x"5F";
157
             when 00195 => D <= x"28";
158
             when 00196 => D <= x"04";
159
             when 00197 => D <= x"AF";
160
             when 00198 => D <= x"D3";
161
             when 00199 => D <= x"15";
162
             when 00200 => D <= x"C9";
163
             when 00201 => D <= x"3E";
164
             when 00208 => D <= x"00";
165
             when 00209 => D <= x"ED";
166
             when 00210 => D <= x"5B";
167
             when 00211 => D <= x"D6";
168
             when 00212 => D <= x"57";
169
             when 00213 => D <= x"01";
170
             when 00214 => D <= x"08";
171
             when 00215 => D <= x"00";
172
             when 00216 => D <= x"ED";
173
             when 00217 => D <= x"B0";
174
             when 00224 => D <= x"01";
175
             when 00225 => D <= x"09";
176
             when 00226 => D <= x"77";
177
             when 00227 => D <= x"18";
178
             when 00228 => D <= x"FE";
179
             when 00229 => D <= x"FF";
180
             when 00230 => D <= x"81";
181
             when 00231 => D <= x"81";
182
             when 00232 => D <= x"91";
183
             when 00233 => D <= x"91";
184
             when 00240 => D <= x"E5";
185
             when 00241 => D <= x"21";
186
             when 00242 => D <= x"00";
187
             when 00243 => D <= x"00";
188
             when 00244 => D <= x"CD";
189
             when 00245 => D <= x"1B";
190
             when 00246 => D <= x"01";
191
             when 00247 => D <= x"E1";
192
             when 00248 => D <= x"46";
193
             when 00249 => D <= x"3E";
194
             when 00256 => D <= x"23";
195
             when 00257 => D <= x"E5";
196
             when 00258 => D <= x"ED";
197
             when 00259 => D <= x"52";
198
             when 00260 => D <= x"E1";
199
             when 00261 => D <= x"20";
200
             when 00262 => D <= x"E6";
201
             when 00263 => D <= x"C9";
202
             when 00264 => D <= x"21";
203
             when 00265 => D <= x"77";
204
             when 00272 => D <= x"10";
205
             when 00273 => D <= x"C9";
206
             when 00274 => D <= x"D3";
207
             when 00275 => D <= x"11";
208
             when 00276 => D <= x"C9";
209
             when 00277 => D <= x"D3";
210
             when 00278 => D <= x"12";
211
             when 00279 => D <= x"C9";
212
             when 00280 => D <= x"D3";
213
             when 00281 => D <= x"13";
214
             when 00288 => D <= x"0C";
215
             when 00289 => D <= x"ED";
216
             when 00290 => D <= x"61";
217
             when 00291 => D <= x"C1";
218
             when 00292 => D <= x"C9";
219
             when 00293 => D <= x"C5";
220
             when 00294 => D <= x"0E";
221
             when 00295 => D <= x"12";
222
             when 00296 => D <= x"ED";
223
             when 00297 => D <= x"69";
224
             when 00304 => D <= x"01";
225
             when 00305 => D <= x"C9";
226
             when 00306 => D <= x"D3";
227
             when 00307 => D <= x"02";
228
             when 00308 => D <= x"C9";
229
             when 00309 => D <= x"D3";
230
             when 00310 => D <= x"03";
231
             when 00311 => D <= x"C9";
232
             when 00312 => D <= x"DB";
233
             when 00313 => D <= x"20";
234
             when 00320 => D <= x"C9";
235
             when 00321 => D <= x"DB";
236
             when 00322 => D <= x"80";
237
             when 00323 => D <= x"C9";
238
             when 00324 => D <= x"2A";
239
             when 00325 => D <= x"D4";
240
             when 00326 => D <= x"57";
241
             when 00327 => D <= x"01";
242
             when 00328 => D <= x"BF";
243
             when 00329 => D <= x"12";
244
             when 00336 => D <= x"B1";
245
             when 00337 => D <= x"20";
246
             when 00338 => D <= x"F7";
247
             when 00339 => D <= x"C9";
248
             when others  => D <= "ZZZZZZZZ";
249
        end case;
250
end process;
251
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.