OpenCores
URL https://opencores.org/ocsvn/zipcpu/zipcpu/trunk

Subversion Repositories zipcpu

[/] [zipcpu/] [trunk/] [rtl/] [peripherals/] [icontrol.v] - Blame information for rev 209

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dgisselq
////////////////////////////////////////////////////////////////////////////////
2
//
3
// Filename:    icontrol.v
4
//
5
// Project:     Zip CPU -- a small, lightweight, RISC CPU soft core
6
//
7
// Purpose:     An interrupt controller, for managing many interrupt sources.
8
//
9
//      This interrupt controller started from the question of how best to
10
//      design a simple interrupt controller.  As such, it has a few nice
11
//      qualities to it:
12
//              1. This is wishbone compliant
13
//              2. It sits on a 32-bit wishbone data bus
14
//              3. It only consumes one address on that wishbone bus.
15
//              4. There is no extra delays associated with reading this
16
//                      device.
17
//              5. Common operations can all be done in one clock.
18
//
19
//      So, how shall this be used?  First, the 32-bit word is broken down as
20
//      follows:
21
//
22
//      Bit 31  - This is the global interrupt enable bit.  If set, interrupts
23
//              will be generated and passed on as they come in.
24
//      Bits 16-30      - These are specific interrupt enable lines.  If set,
25
//              interrupts from source (bit#-16) will be enabled.
26
//              To set this line and enable interrupts from this source, write
27
//              to the register with this bit set and the global enable set.
28
//              To disable this line, write to this register with global enable
29
//              bit not set, but this bit set.  (Writing a zero to any of these
30
//              bits has no effect, either setting or unsetting them.)
31
//      Bit 15 - This is the any interrupt pin.  If any interrupt is pending,
32
//              this bit will be set.
33
//      Bits 0-14       - These are interrupt bits.  When set, an interrupt is
34
//              pending from the corresponding source--regardless of whether
35
//              it was enabled.  (If not enabled, it won't generate an
36
//              interrupt, but it will still register here.)  To clear any
37
//              of these bits, write a '1' to the corresponding bit.  Writing
38
//              a zero to any of these bits has no effect.
39
//
40
//      The peripheral also sports a parameter, IUSED, which can be set
41
//      to any value between 1 and (buswidth/2-1, or) 15 inclusive.  This will
42
//      be the number of interrupts handled by this routine.  (Without the
43
//      parameter, Vivado was complaining about unused bits.  With it, we can
44
//      keep the complaints down and still use the routine).
45
//
46
//      To get access to more than 15 interrupts, chain these together, so
47
//      that one interrupt controller device feeds another.
48
//
49
//
50
// Creator:     Dan Gisselquist, Ph.D.
51 69 dgisselq
//              Gisselquist Technology, LLC
52 2 dgisselq
//
53
////////////////////////////////////////////////////////////////////////////////
54
//
55 209 dgisselq
// Copyright (C) 2015,2017-2019, Gisselquist Technology, LLC
56 2 dgisselq
//
57
// This program is free software (firmware): you can redistribute it and/or
58
// modify it under the terms of  the GNU General Public License as published
59
// by the Free Software Foundation, either version 3 of the License, or (at
60
// your option) any later version.
61
//
62
// This program is distributed in the hope that it will be useful, but WITHOUT
63
// ANY WARRANTY; without even the implied warranty of MERCHANTIBILITY or
64
// FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
65
// for more details.
66
//
67 201 dgisselq
// You should have received a copy of the GNU General Public License along
68
// with this program.  (It's in the $(ROOT)/doc directory.  Run make with no
69
// target there if the PDF file isn't present.)  If not, see
70
// <http://www.gnu.org/licenses/> for a copy.
71
//
72 2 dgisselq
// License:     GPL, v3, as defined and found on www.gnu.org,
73
//              http://www.gnu.org/licenses/gpl.html
74
//
75
//
76
////////////////////////////////////////////////////////////////////////////////
77
//
78 201 dgisselq
//
79 209 dgisselq
`default_nettype        none
80
//
81
module  icontrol(i_clk, i_reset, i_wr, i_data, o_data,
82 69 dgisselq
                i_brd_ints, o_interrupt);
83 209 dgisselq
        parameter       IUSED = 15, BW=32;
84
        input   wire            i_clk, i_reset;
85
        input   wire            i_wr;
86
        input   wire    [BW-1:0] i_data;
87
        output  reg     [BW-1:0] o_data;
88
        input   wire    [(IUSED-1):0]    i_brd_ints;
89 69 dgisselq
        output  wire            o_interrupt;
90 2 dgisselq
 
91
        reg     [(IUSED-1):0]    r_int_state;
92
        reg     [(IUSED-1):0]    r_int_enable;
93
        wire    [(IUSED-1):0]    nxt_int_state;
94 209 dgisselq
        reg             r_interrupt, r_gie;
95
        wire            w_any;
96 2 dgisselq
 
97
        assign  nxt_int_state = (r_int_state|i_brd_ints);
98
        initial r_int_state = 0;
99
        always @(posedge i_clk)
100
                if (i_reset)
101
                        r_int_state  <= 0;
102
                else if (i_wr)
103 209 dgisselq
                        r_int_state <= i_brd_ints | (r_int_state & (~i_data[(IUSED-1):0]));
104 2 dgisselq
                else
105
                        r_int_state <= nxt_int_state;
106
        initial r_int_enable = 0;
107
        always @(posedge i_clk)
108
                if (i_reset)
109
                        r_int_enable <= 0;
110 209 dgisselq
                else if ((i_wr)&&(i_data[BW-1]))
111
                        r_int_enable <= r_int_enable | i_data[(16+IUSED-1):16];
112
                else if ((i_wr)&&(!i_data[BW-1]))
113
                        r_int_enable <= r_int_enable & (~ i_data[(16+IUSED-1):16]);
114 2 dgisselq
 
115
        initial r_gie = 1'b0;
116
        always @(posedge i_clk)
117
                if (i_reset)
118
                        r_gie <= 1'b0;
119
                else if (i_wr)
120 209 dgisselq
                        r_gie <= i_data[BW-1];
121 2 dgisselq
 
122 209 dgisselq
        assign  w_any = ((r_int_state & r_int_enable) != 0);
123
 
124 2 dgisselq
        initial r_interrupt = 1'b0;
125
        always @(posedge i_clk)
126 209 dgisselq
        if (i_reset)
127
                r_interrupt <= 1'b0;
128
        else
129
                r_interrupt <= (r_gie)&&(w_any);
130 2 dgisselq
 
131
        generate
132
        if (IUSED < 15)
133
        begin
134 209 dgisselq
                always @(posedge i_clk)
135
                        o_data <= {
136 2 dgisselq
                                r_gie, { {(15-IUSED){1'b0}}, r_int_enable },
137 209 dgisselq
                                w_any, { {(15-IUSED){1'b0}}, r_int_state  } };
138 2 dgisselq
        end else begin
139 209 dgisselq
                always @(posedge i_clk)
140
                        o_data <= { r_gie, r_int_enable, w_any, r_int_state };
141 2 dgisselq
        end endgenerate
142
 
143 209 dgisselq
        assign  o_interrupt = r_interrupt;
144
 
145
        // Make verilator happy
146
        // verilator lint_off UNUSED
147
        wire    [30:0]   unused;
148
        assign  unused = i_data[30:0];
149
        // verilator lint_on  UNUSED
150
 
151
`ifdef  FORMAL
152
`ifdef  ICONTROL
153
`define ASSUME  assume
154
`else
155
`define ASSUME  assert
156
`endif
157
 
158
        reg     f_past_valid;
159
 
160
        initial f_past_valid = 1'b0;
161 2 dgisselq
        always @(posedge i_clk)
162 209 dgisselq
                f_past_valid <= 1'b1;
163 2 dgisselq
 
164 209 dgisselq
        initial `ASSUME(i_reset);
165
        always @(*)
166
        if (!f_past_valid)
167
                `ASSUME(i_reset);
168 69 dgisselq
 
169 209 dgisselq
        always @(posedge i_clk)
170
        if ((!f_past_valid)||($past(i_reset)))
171
        begin
172
                assert(w_any == 0);
173
                assert(r_interrupt == 0);
174
                assert(r_gie == 0);
175
                assert(r_int_enable == 0);
176
        end
177
 
178
        always @(posedge i_clk)
179
        if ((f_past_valid)&&(!$past(i_reset)))
180
                assert((r_int_state & $past(i_brd_ints))==$past(i_brd_ints));
181
 
182
        always @(posedge i_clk)
183
        if (((f_past_valid)&&(!$past(i_reset)))
184
                        &&($past(r_int_state) & $past(r_int_enable))
185
                        &&($past(r_gie)) )
186
                assert(o_interrupt);
187
 
188
        always @(posedge i_clk)
189
        if ((f_past_valid)&&($past(w_any))&&(!$past(i_wr))&&(!$past(i_reset)))
190
                assert(w_any);
191
 
192
        always @(posedge i_clk)
193
        if ((f_past_valid)&&(!$past(r_gie)))
194
                assert(!o_interrupt);
195
 
196
        always @(posedge i_clk)
197
        if ((f_past_valid)&&(!$past(w_any)))
198
                assert(!o_interrupt);
199
 
200
        always @(posedge i_clk)
201
        if ((f_past_valid)&&(!$past(i_reset))&&($past(i_wr)))
202
        begin
203
                // Interrupts cannot be cleared, unless they are set
204
                assert(r_int_state == (($past(i_brd_ints))
205
                        |(($past(r_int_state))&(~$past(i_data[IUSED-1:0])))));
206
                assert(r_gie == $past(i_data[BW-1]));
207
        end else if ((f_past_valid)&&(!$past(i_reset)))
208
        begin
209
                assert(r_int_state == ($past(r_int_state)|$past(i_brd_ints)));
210
                assert(r_gie == $past(r_gie));
211
        end
212
 
213
`endif
214 2 dgisselq
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.