OpenCores
URL https://opencores.org/ocsvn/zpu/zpu/trunk

Subversion Repositories zpu

[/] [zpu/] [trunk/] [zpu/] [example/] [helloworld.vhd] - Blame information for rev 96

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 93 oharboe
-- ZPU
2
--
3 96 oharboe
-- Copyright 2004-2009 oharboe - Oyvind Harboe - oyvind.harboe@zylin.com
4 93 oharboe
-- 
5
-- The FreeBSD license
6
-- 
7
-- Redistribution and use in source and binary forms, with or without
8
-- modification, are permitted provided that the following conditions
9
-- are met:
10
-- 
11
-- 1. Redistributions of source code must retain the above copyright
12
--    notice, this list of conditions and the following disclaimer.
13
-- 2. Redistributions in binary form must reproduce the above
14
--    copyright notice, this list of conditions and the following
15
--    disclaimer in the documentation and/or other materials
16
--    provided with the distribution.
17
-- 
18
-- THIS SOFTWARE IS PROVIDED BY THE ZPU PROJECT ``AS IS'' AND ANY
19
-- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
20
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A
21
-- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
22
-- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT,
23
-- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
24
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS
25
-- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION)
26
-- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
27
-- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
28
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
29
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
30
-- 
31
-- The views and conclusions contained in the software and documentation
32
-- are those of the authors and should not be interpreted as representing
33
-- official policies, either expressed or implied, of the ZPU Project.
34
 
35
library ieee;
36
use ieee.std_logic_1164.all;
37
use ieee.numeric_std.all;
38
 
39
 
40
library work;
41
use work.zpu_config.all;
42
use work.zpupkg.all;
43
 
44
entity dualport_ram is
45
port (clk : in std_logic;
46
        memAWriteEnable : in std_logic;
47
        memAAddr : in std_logic_vector(maxAddrBitBRAM downto minAddrBit);
48
        memAWrite : in std_logic_vector(wordSize-1 downto 0);
49
        memARead : out std_logic_vector(wordSize-1 downto 0);
50
        memBWriteEnable : in std_logic;
51
        memBAddr : in std_logic_vector(maxAddrBitBRAM downto minAddrBit);
52
        memBWrite : in std_logic_vector(wordSize-1 downto 0);
53
        memBRead : out std_logic_vector(wordSize-1 downto 0));
54
end dualport_ram;
55
 
56
architecture dualport_ram_arch of dualport_ram is
57
 
58
 
59
type ram_type is array(natural range 0 to ((2**(maxAddrBitBRAM+1))/4)-1) of std_logic_vector(wordSize-1 downto 0);
60
 
61
shared variable ram : ram_type :=
62
(
63 96 oharboe
 
64
     1 => x"82700b0b",
65
     2 => x"80cdac0c",
66
     3 => x"3a0b0b80",
67
     4 => x"c5ac0400",
68
     5 => x"00000000",
69
     6 => x"00000000",
70
     7 => x"00000000",
71
     8 => x"80088408",
72
     9 => x"88080b0b",
73
    10 => x"80c5f32d",
74
    11 => x"880c840c",
75
    12 => x"800c0400",
76
    13 => x"00000000",
77
    14 => x"00000000",
78
    15 => x"00000000",
79
    16 => x"71fd0608",
80
    17 => x"72830609",
81
    18 => x"81058205",
82
    19 => x"832b2a83",
83
    20 => x"ffff0652",
84
    21 => x"04000000",
85
    22 => x"00000000",
86
    23 => x"00000000",
87
    24 => x"71fd0608",
88
    25 => x"83ffff73",
89
    26 => x"83060981",
90
    27 => x"05820583",
91
    28 => x"2b2b0906",
92
    29 => x"7383ffff",
93
    30 => x"0b0b0b0b",
94
    31 => x"83a70400",
95
    32 => x"72098105",
96
    33 => x"72057373",
97
    34 => x"09060906",
98
    35 => x"73097306",
99
    36 => x"070a8106",
100
    37 => x"53510400",
101
    38 => x"00000000",
102
    39 => x"00000000",
103
    40 => x"72722473",
104
    41 => x"732e0753",
105
    42 => x"51040000",
106
    43 => x"00000000",
107
    44 => x"00000000",
108
    45 => x"00000000",
109
    46 => x"00000000",
110
    47 => x"00000000",
111
    48 => x"71737109",
112
    49 => x"71068106",
113
    50 => x"30720a10",
114
    51 => x"0a720a10",
115
    52 => x"0a31050a",
116
    53 => x"81065151",
117
    54 => x"53510400",
118
    55 => x"00000000",
119
    56 => x"72722673",
120
    57 => x"732e0753",
121
    58 => x"51040000",
122
    59 => x"00000000",
123
    60 => x"00000000",
124
    61 => x"00000000",
125
    62 => x"00000000",
126
    63 => x"00000000",
127
    64 => x"00000000",
128
    65 => x"00000000",
129
    66 => x"00000000",
130
    67 => x"00000000",
131
    68 => x"00000000",
132
    69 => x"00000000",
133
    70 => x"00000000",
134
    71 => x"00000000",
135
    72 => x"0b0b0b88",
136
    73 => x"c4040000",
137
    74 => x"00000000",
138
    75 => x"00000000",
139
    76 => x"00000000",
140
    77 => x"00000000",
141
    78 => x"00000000",
142
    79 => x"00000000",
143
    80 => x"720a722b",
144
    81 => x"0a535104",
145
    82 => x"00000000",
146
    83 => x"00000000",
147
    84 => x"00000000",
148
    85 => x"00000000",
149
    86 => x"00000000",
150
    87 => x"00000000",
151
    88 => x"72729f06",
152
    89 => x"0981050b",
153
    90 => x"0b0b88a7",
154
    91 => x"05040000",
155
    92 => x"00000000",
156
    93 => x"00000000",
157
    94 => x"00000000",
158
    95 => x"00000000",
159
    96 => x"72722aff",
160
    97 => x"739f062a",
161
    98 => x"0974090a",
162
    99 => x"8106ff05",
163
   100 => x"06075351",
164
   101 => x"04000000",
165
   102 => x"00000000",
166
   103 => x"00000000",
167
   104 => x"71715351",
168
   105 => x"020d0406",
169
   106 => x"73830609",
170
   107 => x"81058205",
171
   108 => x"832b0b2b",
172
   109 => x"0772fc06",
173
   110 => x"0c515104",
174
   111 => x"00000000",
175
   112 => x"72098105",
176
   113 => x"72050970",
177
   114 => x"81050906",
178
   115 => x"0a810653",
179
   116 => x"51040000",
180
   117 => x"00000000",
181
   118 => x"00000000",
182
   119 => x"00000000",
183
   120 => x"72098105",
184
   121 => x"72050970",
185
   122 => x"81050906",
186
   123 => x"0a098106",
187
   124 => x"53510400",
188
   125 => x"00000000",
189
   126 => x"00000000",
190
   127 => x"00000000",
191
   128 => x"71098105",
192
   129 => x"52040000",
193
   130 => x"00000000",
194
   131 => x"00000000",
195
   132 => x"00000000",
196
   133 => x"00000000",
197
   134 => x"00000000",
198
   135 => x"00000000",
199
   136 => x"72720981",
200
   137 => x"05055351",
201
   138 => x"04000000",
202
   139 => x"00000000",
203
   140 => x"00000000",
204
   141 => x"00000000",
205
   142 => x"00000000",
206
   143 => x"00000000",
207
   144 => x"72097206",
208
   145 => x"73730906",
209
   146 => x"07535104",
210
   147 => x"00000000",
211
   148 => x"00000000",
212
   149 => x"00000000",
213
   150 => x"00000000",
214
   151 => x"00000000",
215
   152 => x"71fc0608",
216
   153 => x"72830609",
217
   154 => x"81058305",
218
   155 => x"1010102a",
219
   156 => x"81ff0652",
220
   157 => x"04000000",
221
   158 => x"00000000",
222
   159 => x"00000000",
223
   160 => x"71fc0608",
224
   161 => x"0b0b80cd",
225
   162 => x"98738306",
226
   163 => x"10100508",
227
   164 => x"060b0b0b",
228
   165 => x"88aa0400",
229
   166 => x"00000000",
230
   167 => x"00000000",
231
   168 => x"80088408",
232
   169 => x"88087575",
233
   170 => x"0b0b0b8b",
234
   171 => x"a72d5050",
235
   172 => x"80085688",
236
   173 => x"0c840c80",
237
   174 => x"0c510400",
238
   175 => x"00000000",
239
   176 => x"80088408",
240
   177 => x"88087575",
241
   178 => x"0b0b0b8c",
242
   179 => x"d92d5050",
243
   180 => x"80085688",
244
   181 => x"0c840c80",
245
   182 => x"0c510400",
246
   183 => x"00000000",
247
   184 => x"72097081",
248
   185 => x"0509060a",
249
   186 => x"8106ff05",
250
   187 => x"70547106",
251
   188 => x"73097274",
252
   189 => x"05ff0506",
253
   190 => x"07515151",
254
   191 => x"04000000",
255
   192 => x"72097081",
256
   193 => x"0509060a",
257
   194 => x"098106ff",
258
   195 => x"05705471",
259
   196 => x"06730972",
260
   197 => x"7405ff05",
261
   198 => x"06075151",
262
   199 => x"51040000",
263
   200 => x"05ff0504",
264
   201 => x"00000000",
265
   202 => x"00000000",
266
   203 => x"00000000",
267
   204 => x"00000000",
268
   205 => x"00000000",
269
   206 => x"00000000",
270
   207 => x"00000000",
271
   208 => x"810b0b0b",
272
   209 => x"80cda80c",
273
   210 => x"51040000",
274
   211 => x"00000000",
275
   212 => x"00000000",
276
   213 => x"00000000",
277
   214 => x"00000000",
278
   215 => x"00000000",
279
   216 => x"71810552",
280
   217 => x"04000000",
281
   218 => x"00000000",
282
   219 => x"00000000",
283
   220 => x"00000000",
284
   221 => x"00000000",
285
   222 => x"00000000",
286
   223 => x"00000000",
287
   224 => x"00000000",
288
   225 => x"00000000",
289
   226 => x"00000000",
290
   227 => x"00000000",
291
   228 => x"00000000",
292
   229 => x"00000000",
293
   230 => x"00000000",
294
   231 => x"00000000",
295
   232 => x"02840572",
296
   233 => x"10100552",
297
   234 => x"04000000",
298
   235 => x"00000000",
299
   236 => x"00000000",
300
   237 => x"00000000",
301
   238 => x"00000000",
302
   239 => x"00000000",
303
   240 => x"00000000",
304
   241 => x"00000000",
305
   242 => x"00000000",
306
   243 => x"00000000",
307
   244 => x"00000000",
308
   245 => x"00000000",
309
   246 => x"00000000",
310
   247 => x"00000000",
311
   248 => x"717105ff",
312
   249 => x"05715351",
313
   250 => x"020d0400",
314
   251 => x"00000000",
315
   252 => x"00000000",
316
   253 => x"00000000",
317
   254 => x"00000000",
318
   255 => x"00000000",
319
   256 => x"82c73f80",
320
   257 => x"c4ae3f04",
321
   258 => x"10101010",
322
   259 => x"10101010",
323
   260 => x"10101010",
324
   261 => x"10101010",
325
   262 => x"10101010",
326
   263 => x"10101010",
327
   264 => x"10101010",
328
   265 => x"10101053",
329
   266 => x"51047381",
330
   267 => x"ff067383",
331
   268 => x"06098105",
332
   269 => x"83051010",
333
   270 => x"102b0772",
334
   271 => x"fc060c51",
335
   272 => x"51043c04",
336
   273 => x"72728072",
337
   274 => x"8106ff05",
338
   275 => x"09720605",
339
   276 => x"71105272",
340
   277 => x"0a100a53",
341
   278 => x"72ed3851",
342
   279 => x"51535104",
343
   280 => x"fe3d0d0b",
344
   281 => x"0b80dd94",
345
   282 => x"08538413",
346
   283 => x"0870882a",
347
   284 => x"70810651",
348
   285 => x"52527080",
349
   286 => x"2ef03871",
350
   287 => x"81ff0680",
351
   288 => x"0c843d0d",
352
   289 => x"04ff3d0d",
353
   290 => x"0b0b80dd",
354
   291 => x"94085271",
355
   292 => x"0870882a",
356
   293 => x"81327081",
357
   294 => x"06515151",
358
   295 => x"70f13873",
359
   296 => x"720c833d",
360
   297 => x"0d0480cd",
361
   298 => x"a808802e",
362
   299 => x"a43880cd",
363
   300 => x"ac08822e",
364
   301 => x"bd388380",
365
   302 => x"800b0b0b",
366
   303 => x"80dd940c",
367
   304 => x"82a0800b",
368
   305 => x"80dd980c",
369
   306 => x"8290800b",
370
   307 => x"80dd9c0c",
371
   308 => x"04f88080",
372
   309 => x"80a40b0b",
373
   310 => x"0b80dd94",
374
   311 => x"0cf88080",
375
   312 => x"82800b80",
376
   313 => x"dd980cf8",
377
   314 => x"80808480",
378
   315 => x"0b80dd9c",
379
   316 => x"0c0480c0",
380
   317 => x"a8808c0b",
381
   318 => x"0b0b80dd",
382
   319 => x"940c80c0",
383
   320 => x"a880940b",
384
   321 => x"80dd980c",
385
   322 => x"0b0b80cc",
386
   323 => x"e00b80dd",
387
   324 => x"9c0c04ff",
388
   325 => x"3d0d80dd",
389
   326 => x"a0335170",
390
   327 => x"a73880cd",
391
   328 => x"b4087008",
392
   329 => x"52527080",
393
   330 => x"2e943884",
394
   331 => x"1280cdb4",
395
   332 => x"0c702d80",
396
   333 => x"cdb40870",
397
   334 => x"08525270",
398
   335 => x"ee38810b",
399
   336 => x"80dda034",
400
   337 => x"833d0d04",
401
   338 => x"04803d0d",
402
   339 => x"0b0b80dd",
403
   340 => x"9008802e",
404
   341 => x"8e380b0b",
405
   342 => x"0b0b800b",
406
   343 => x"802e0981",
407
   344 => x"06853882",
408
   345 => x"3d0d040b",
409
   346 => x"0b80dd90",
410
   347 => x"510b0b0b",
411
   348 => x"f58e3f82",
412
   349 => x"3d0d0404",
413
   350 => x"fe3d0d89",
414
   351 => x"5380cce4",
415
   352 => x"5185f93f",
416
   353 => x"80ccf451",
417
   354 => x"85f23f81",
418
   355 => x"0a0b80dd",
419
   356 => x"ac0cff0b",
420
   357 => x"80ddb00c",
421
   358 => x"ff135372",
422
   359 => x"8025de38",
423
   360 => x"72800c84",
424
   361 => x"3d0d048c",
425
   362 => x"08028c0c",
426
   363 => x"f93d0d80",
427
   364 => x"0b8c08fc",
428
   365 => x"050c8c08",
429
   366 => x"88050880",
430
   367 => x"25ab388c",
431
   368 => x"08880508",
432
   369 => x"308c0888",
433
   370 => x"050c800b",
434
   371 => x"8c08f405",
435
   372 => x"0c8c08fc",
436
   373 => x"05088838",
437
   374 => x"810b8c08",
438
   375 => x"f4050c8c",
439
   376 => x"08f40508",
440
   377 => x"8c08fc05",
441
   378 => x"0c8c088c",
442
   379 => x"05088025",
443
   380 => x"ab388c08",
444
   381 => x"8c050830",
445
   382 => x"8c088c05",
446
   383 => x"0c800b8c",
447
   384 => x"08f0050c",
448
   385 => x"8c08fc05",
449
   386 => x"08883881",
450
   387 => x"0b8c08f0",
451
   388 => x"050c8c08",
452
   389 => x"f005088c",
453
   390 => x"08fc050c",
454
   391 => x"80538c08",
455
   392 => x"8c050852",
456
   393 => x"8c088805",
457
   394 => x"085181a7",
458
   395 => x"3f800870",
459
   396 => x"8c08f805",
460
   397 => x"0c548c08",
461
   398 => x"fc050880",
462
   399 => x"2e8c388c",
463
   400 => x"08f80508",
464
   401 => x"308c08f8",
465
   402 => x"050c8c08",
466
   403 => x"f8050870",
467
   404 => x"800c5489",
468
   405 => x"3d0d8c0c",
469
   406 => x"048c0802",
470
   407 => x"8c0cfb3d",
471
   408 => x"0d800b8c",
472
   409 => x"08fc050c",
473
   410 => x"8c088805",
474
   411 => x"08802593",
475
   412 => x"388c0888",
476
   413 => x"0508308c",
477
   414 => x"0888050c",
478
   415 => x"810b8c08",
479
   416 => x"fc050c8c",
480
   417 => x"088c0508",
481
   418 => x"80258c38",
482
   419 => x"8c088c05",
483
   420 => x"08308c08",
484
   421 => x"8c050c81",
485
   422 => x"538c088c",
486
   423 => x"0508528c",
487
   424 => x"08880508",
488
   425 => x"51ad3f80",
489
   426 => x"08708c08",
490
   427 => x"f8050c54",
491
   428 => x"8c08fc05",
492
   429 => x"08802e8c",
493
   430 => x"388c08f8",
494
   431 => x"0508308c",
495
   432 => x"08f8050c",
496
   433 => x"8c08f805",
497
   434 => x"0870800c",
498
   435 => x"54873d0d",
499
   436 => x"8c0c048c",
500
   437 => x"08028c0c",
501
   438 => x"fd3d0d81",
502
   439 => x"0b8c08fc",
503
   440 => x"050c800b",
504
   441 => x"8c08f805",
505
   442 => x"0c8c088c",
506
   443 => x"05088c08",
507
   444 => x"88050827",
508
   445 => x"ac388c08",
509
   446 => x"fc050880",
510
   447 => x"2ea33880",
511
   448 => x"0b8c088c",
512
   449 => x"05082499",
513
   450 => x"388c088c",
514
   451 => x"0508108c",
515
   452 => x"088c050c",
516
   453 => x"8c08fc05",
517
   454 => x"08108c08",
518
   455 => x"fc050cc9",
519
   456 => x"398c08fc",
520
   457 => x"0508802e",
521
   458 => x"80c9388c",
522
   459 => x"088c0508",
523
   460 => x"8c088805",
524
   461 => x"0826a138",
525
   462 => x"8c088805",
526
   463 => x"088c088c",
527
   464 => x"0508318c",
528
   465 => x"0888050c",
529
   466 => x"8c08f805",
530
   467 => x"088c08fc",
531
   468 => x"0508078c",
532
   469 => x"08f8050c",
533
   470 => x"8c08fc05",
534
   471 => x"08812a8c",
535
   472 => x"08fc050c",
536
   473 => x"8c088c05",
537
   474 => x"08812a8c",
538
   475 => x"088c050c",
539
   476 => x"ffaf398c",
540
   477 => x"08900508",
541
   478 => x"802e8f38",
542
   479 => x"8c088805",
543
   480 => x"08708c08",
544
   481 => x"f4050c51",
545
   482 => x"8d398c08",
546
   483 => x"f8050870",
547
   484 => x"8c08f405",
548
   485 => x"0c518c08",
549
   486 => x"f4050880",
550
   487 => x"0c853d0d",
551
   488 => x"8c0c04fc",
552
   489 => x"3d0d7670",
553
   490 => x"797b5555",
554
   491 => x"55558f72",
555
   492 => x"278c3872",
556
   493 => x"75078306",
557
   494 => x"5170802e",
558
   495 => x"a738ff12",
559
   496 => x"5271ff2e",
560
   497 => x"98387270",
561
   498 => x"81055433",
562
   499 => x"74708105",
563
   500 => x"5634ff12",
564
   501 => x"5271ff2e",
565
   502 => x"098106ea",
566
   503 => x"3874800c",
567
   504 => x"863d0d04",
568
   505 => x"74517270",
569
   506 => x"84055408",
570
   507 => x"71708405",
571
   508 => x"530c7270",
572
   509 => x"84055408",
573
   510 => x"71708405",
574
   511 => x"530c7270",
575
   512 => x"84055408",
576
   513 => x"71708405",
577
   514 => x"530c7270",
578
   515 => x"84055408",
579
   516 => x"71708405",
580
   517 => x"530cf012",
581
   518 => x"52718f26",
582
   519 => x"c9388372",
583
   520 => x"27953872",
584
   521 => x"70840554",
585
   522 => x"08717084",
586
   523 => x"05530cfc",
587
   524 => x"12527183",
588
   525 => x"26ed3870",
589
   526 => x"54ff8339",
590
   527 => x"f73d0d7c",
591
   528 => x"70525380",
592
   529 => x"c83f7254",
593
   530 => x"80085580",
594
   531 => x"cd845681",
595
   532 => x"57800881",
596
   533 => x"055a8b3d",
597
   534 => x"e4115953",
598
   535 => x"8259f413",
599
   536 => x"527b8811",
600
   537 => x"08525381",
601
   538 => x"833f8008",
602
   539 => x"30708008",
603
   540 => x"079f2c8a",
604
   541 => x"07800c53",
605
   542 => x"8b3d0d04",
606
   543 => x"ff3d0d73",
607
   544 => x"5280cdb8",
608
   545 => x"0851ffb4",
609
   546 => x"3f833d0d",
610
   547 => x"04fd3d0d",
611
   548 => x"75707183",
612
   549 => x"06535552",
613
   550 => x"70b83871",
614
   551 => x"70087009",
615
   552 => x"f7fbfdff",
616
   553 => x"120670f8",
617
   554 => x"84828180",
618
   555 => x"06515152",
619
   556 => x"53709d38",
620
   557 => x"84137008",
621
   558 => x"7009f7fb",
622
   559 => x"fdff1206",
623
   560 => x"70f88482",
624
   561 => x"81800651",
625
   562 => x"51525370",
626
   563 => x"802ee538",
627
   564 => x"72527133",
628
   565 => x"5170802e",
629
   566 => x"8a388112",
630
   567 => x"70335252",
631
   568 => x"70f83871",
632
   569 => x"7431800c",
633
   570 => x"853d0d04",
634
   571 => x"f23d0d60",
635
   572 => x"62881108",
636
   573 => x"7057575f",
637
   574 => x"5a74802e",
638
   575 => x"8190388c",
639
   576 => x"1a227083",
640
   577 => x"2a813270",
641
   578 => x"81065155",
642
   579 => x"58738638",
643
   580 => x"901a0891",
644
   581 => x"38795190",
645
   582 => x"a23fff54",
646
   583 => x"800880ee",
647
   584 => x"388c1a22",
648
   585 => x"587d0857",
649
   586 => x"807883ff",
650
   587 => x"ff06700a",
651
   588 => x"100a7081",
652
   589 => x"06515657",
653
   590 => x"5573752e",
654
   591 => x"80d73874",
655
   592 => x"90387608",
656
   593 => x"84180888",
657
   594 => x"19595659",
658
   595 => x"74802ef2",
659
   596 => x"38745488",
660
   597 => x"80752784",
661
   598 => x"38888054",
662
   599 => x"73537852",
663
   600 => x"9c1a0851",
664
   601 => x"a41a0854",
665
   602 => x"732d800b",
666
   603 => x"80082582",
667
   604 => x"e6388008",
668
   605 => x"19758008",
669
   606 => x"317f8805",
670
   607 => x"08800831",
671
   608 => x"70618805",
672
   609 => x"0c565659",
673
   610 => x"73ffb438",
674
   611 => x"80547380",
675
   612 => x"0c903d0d",
676
   613 => x"04758132",
677
   614 => x"70810676",
678
   615 => x"41515473",
679
   616 => x"802e81c1",
680
   617 => x"38749038",
681
   618 => x"76088418",
682
   619 => x"08881959",
683
   620 => x"56597480",
684
   621 => x"2ef23888",
685
   622 => x"1a087883",
686
   623 => x"ffff0670",
687
   624 => x"892a7081",
688
   625 => x"06515659",
689
   626 => x"5673802e",
690
   627 => x"82fa3875",
691
   628 => x"75278d38",
692
   629 => x"77872a70",
693
   630 => x"81065154",
694
   631 => x"7382b538",
695
   632 => x"74762783",
696
   633 => x"38745675",
697
   634 => x"53785279",
698
   635 => x"08518582",
699
   636 => x"3f881a08",
700
   637 => x"7631881b",
701
   638 => x"0c790816",
702
   639 => x"7a0c7456",
703
   640 => x"75197577",
704
   641 => x"317f8805",
705
   642 => x"08783170",
706
   643 => x"6188050c",
707
   644 => x"56565973",
708
   645 => x"802efef4",
709
   646 => x"388c1a22",
710
   647 => x"58ff8639",
711
   648 => x"77785479",
712
   649 => x"537b5256",
713
   650 => x"84c83f88",
714
   651 => x"1a087831",
715
   652 => x"881b0c79",
716
   653 => x"08187a0c",
717
   654 => x"7c76315d",
718
   655 => x"7c8e3879",
719
   656 => x"518fdc3f",
720
   657 => x"8008818f",
721
   658 => x"3880085f",
722
   659 => x"75197577",
723
   660 => x"317f8805",
724
   661 => x"08783170",
725
   662 => x"6188050c",
726
   663 => x"56565973",
727
   664 => x"802efea8",
728
   665 => x"38748183",
729
   666 => x"38760884",
730
   667 => x"18088819",
731
   668 => x"59565974",
732
   669 => x"802ef238",
733
   670 => x"74538a52",
734
   671 => x"785182d3",
735
   672 => x"3f800879",
736
   673 => x"3181055d",
737
   674 => x"80088438",
738
   675 => x"81155d81",
739
   676 => x"5f7c5874",
740
   677 => x"7d278338",
741
   678 => x"7458941a",
742
   679 => x"08881b08",
743
   680 => x"11575c80",
744
   681 => x"7a085c54",
745
   682 => x"901a087b",
746
   683 => x"27833881",
747
   684 => x"54757825",
748
   685 => x"843873ba",
749
   686 => x"387b7824",
750
   687 => x"fee2387b",
751
   688 => x"5378529c",
752
   689 => x"1a0851a4",
753
   690 => x"1a085473",
754
   691 => x"2d800856",
755
   692 => x"80088024",
756
   693 => x"fee2388c",
757
   694 => x"1a2280c0",
758
   695 => x"0754738c",
759
   696 => x"1b23ff54",
760
   697 => x"73800c90",
761
   698 => x"3d0d047e",
762
   699 => x"ffa338ff",
763
   700 => x"87397553",
764
   701 => x"78527a51",
765
   702 => x"82f83f79",
766
   703 => x"08167a0c",
767
   704 => x"79518e9b",
768
   705 => x"3f8008cf",
769
   706 => x"387c7631",
770
   707 => x"5d7cfebc",
771
   708 => x"38feac39",
772
   709 => x"901a087a",
773
   710 => x"08713176",
774
   711 => x"1170565a",
775
   712 => x"575280cd",
776
   713 => x"b8085184",
777
   714 => x"8c3f8008",
778
   715 => x"802effa7",
779
   716 => x"38800890",
780
   717 => x"1b0c8008",
781
   718 => x"167a0c77",
782
   719 => x"941b0c74",
783
   720 => x"881b0c74",
784
   721 => x"56fd9939",
785
   722 => x"79085890",
786
   723 => x"1a087827",
787
   724 => x"83388154",
788
   725 => x"75752784",
789
   726 => x"3873b338",
790
   727 => x"941a0856",
791
   728 => x"75752680",
792
   729 => x"d3387553",
793
   730 => x"78529c1a",
794
   731 => x"0851a41a",
795
   732 => x"0854732d",
796
   733 => x"80085680",
797
   734 => x"088024fd",
798
   735 => x"83388c1a",
799
   736 => x"2280c007",
800
   737 => x"54738c1b",
801
   738 => x"23ff54fe",
802
   739 => x"d7397553",
803
   740 => x"78527751",
804
   741 => x"81dc3f79",
805
   742 => x"08167a0c",
806
   743 => x"79518cff",
807
   744 => x"3f800880",
808
   745 => x"2efcd938",
809
   746 => x"8c1a2280",
810
   747 => x"c0075473",
811
   748 => x"8c1b23ff",
812
   749 => x"54fead39",
813
   750 => x"74755479",
814
   751 => x"53785256",
815
   752 => x"81b03f88",
816
   753 => x"1a087531",
817
   754 => x"881b0c79",
818
   755 => x"08157a0c",
819
   756 => x"fcae39fa",
820
   757 => x"3d0d7a79",
821
   758 => x"028805a7",
822
   759 => x"05335652",
823
   760 => x"53837327",
824
   761 => x"8a387083",
825
   762 => x"06527180",
826
   763 => x"2ea838ff",
827
   764 => x"135372ff",
828
   765 => x"2e973870",
829
   766 => x"33527372",
830
   767 => x"2e913881",
831
   768 => x"11ff1454",
832
   769 => x"5172ff2e",
833
   770 => x"098106eb",
834
   771 => x"38805170",
835
   772 => x"800c883d",
836
   773 => x"0d047072",
837
   774 => x"57558351",
838
   775 => x"75828029",
839
   776 => x"14ff1252",
840
   777 => x"56708025",
841
   778 => x"f3388373",
842
   779 => x"27bf3874",
843
   780 => x"08763270",
844
   781 => x"09f7fbfd",
845
   782 => x"ff120670",
846
   783 => x"f8848281",
847
   784 => x"80065151",
848
   785 => x"5170802e",
849
   786 => x"99387451",
850
   787 => x"80527033",
851
   788 => x"5773772e",
852
   789 => x"ffb93881",
853
   790 => x"11811353",
854
   791 => x"51837227",
855
   792 => x"ed38fc13",
856
   793 => x"84165653",
857
   794 => x"728326c3",
858
   795 => x"387451fe",
859
   796 => x"fe39fa3d",
860
   797 => x"0d787a7c",
861
   798 => x"72727257",
862
   799 => x"57575956",
863
   800 => x"56747627",
864
   801 => x"b2387615",
865
   802 => x"51757127",
866
   803 => x"aa387077",
867
   804 => x"17ff1454",
868
   805 => x"555371ff",
869
   806 => x"2e9638ff",
870
   807 => x"14ff1454",
871
   808 => x"54723374",
872
   809 => x"34ff1252",
873
   810 => x"71ff2e09",
874
   811 => x"8106ec38",
875
   812 => x"75800c88",
876
   813 => x"3d0d0476",
877
   814 => x"8f269738",
878
   815 => x"ff125271",
879
   816 => x"ff2eed38",
880
   817 => x"72708105",
881
   818 => x"54337470",
882
   819 => x"81055634",
883
   820 => x"eb397476",
884
   821 => x"07830651",
885
   822 => x"70e23875",
886
   823 => x"75545172",
887
   824 => x"70840554",
888
   825 => x"08717084",
889
   826 => x"05530c72",
890
   827 => x"70840554",
891
   828 => x"08717084",
892
   829 => x"05530c72",
893
   830 => x"70840554",
894
   831 => x"08717084",
895
   832 => x"05530c72",
896
   833 => x"70840554",
897
   834 => x"08717084",
898
   835 => x"05530cf0",
899
   836 => x"1252718f",
900
   837 => x"26c93883",
901
   838 => x"72279538",
902
   839 => x"72708405",
903
   840 => x"54087170",
904
   841 => x"8405530c",
905
   842 => x"fc125271",
906
   843 => x"8326ed38",
907
   844 => x"7054ff88",
908
   845 => x"39ef3d0d",
909
   846 => x"63656740",
910
   847 => x"5d427b80",
911
   848 => x"2e84fa38",
912
   849 => x"6151a5b6",
913
   850 => x"3ff81c70",
914
   851 => x"84120870",
915
   852 => x"fc067062",
916
   853 => x"8b0570f8",
917
   854 => x"06415945",
918
   855 => x"5b5c4157",
919
   856 => x"96742782",
920
   857 => x"c338807b",
921
   858 => x"247e7c26",
922
   859 => x"07598054",
923
   860 => x"78742e09",
924
   861 => x"810682a9",
925
   862 => x"38777b25",
926
   863 => x"81fc3877",
927
   864 => x"1780d4f4",
928
   865 => x"0b880508",
929
   866 => x"5e567c76",
930
   867 => x"2e84bd38",
931
   868 => x"84160870",
932
   869 => x"fe061784",
933
   870 => x"11088106",
934
   871 => x"51555573",
935
   872 => x"828b3874",
936
   873 => x"fc06597c",
937
   874 => x"762e84dd",
938
   875 => x"3877195f",
939
   876 => x"7e7b2581",
940
   877 => x"fd387981",
941
   878 => x"06547382",
942
   879 => x"bf387677",
943
   880 => x"08318411",
944
   881 => x"08fc0656",
945
   882 => x"5a75802e",
946
   883 => x"91387c76",
947
   884 => x"2e84ea38",
948
   885 => x"74191859",
949
   886 => x"787b2584",
950
   887 => x"89387980",
951
   888 => x"2e829938",
952
   889 => x"7715567a",
953
   890 => x"76248290",
954
   891 => x"388c1a08",
955
   892 => x"881b0871",
956
   893 => x"8c120c88",
957
   894 => x"120c5579",
958
   895 => x"76595788",
959
   896 => x"1761fc05",
960
   897 => x"575975a4",
961
   898 => x"2685ef38",
962
   899 => x"7b795555",
963
   900 => x"93762780",
964
   901 => x"c9387b70",
965
   902 => x"84055d08",
966
   903 => x"7c56790c",
967
   904 => x"74708405",
968
   905 => x"56088c18",
969
   906 => x"0c901754",
970
   907 => x"9b7627ae",
971
   908 => x"38747084",
972
   909 => x"05560874",
973
   910 => x"0c747084",
974
   911 => x"05560894",
975
   912 => x"180c9817",
976
   913 => x"54a37627",
977
   914 => x"95387470",
978
   915 => x"84055608",
979
   916 => x"740c7470",
980
   917 => x"84055608",
981
   918 => x"9c180ca0",
982
   919 => x"17547470",
983
   920 => x"84055608",
984
   921 => x"74708405",
985
   922 => x"560c7470",
986
   923 => x"84055608",
987
   924 => x"74708405",
988
   925 => x"560c7408",
989
   926 => x"740c777b",
990
   927 => x"3156758f",
991
   928 => x"2680c938",
992
   929 => x"84170881",
993
   930 => x"06780784",
994
   931 => x"180c7717",
995
   932 => x"84110881",
996
   933 => x"0784120c",
997
   934 => x"546151a2",
998
   935 => x"e23f8817",
999
   936 => x"5473800c",
1000
   937 => x"933d0d04",
1001
   938 => x"905bfdba",
1002
   939 => x"397856fe",
1003
   940 => x"85398c16",
1004
   941 => x"08881708",
1005
   942 => x"718c120c",
1006
   943 => x"88120c55",
1007
   944 => x"7e707c31",
1008
   945 => x"57588f76",
1009
   946 => x"27ffb938",
1010
   947 => x"7a178418",
1011
   948 => x"0881067c",
1012
   949 => x"0784190c",
1013
   950 => x"76810784",
1014
   951 => x"120c7611",
1015
   952 => x"84110881",
1016
   953 => x"0784120c",
1017
   954 => x"55880552",
1018
   955 => x"61518cf7",
1019
   956 => x"3f6151a2",
1020
   957 => x"8a3f8817",
1021
   958 => x"54ffa639",
1022
   959 => x"7d526151",
1023
   960 => x"94f73f80",
1024
   961 => x"08598008",
1025
   962 => x"802e81a3",
1026
   963 => x"388008f8",
1027
   964 => x"05608405",
1028
   965 => x"08fe0661",
1029
   966 => x"05555776",
1030
   967 => x"742e83e6",
1031
   968 => x"38fc1856",
1032
   969 => x"75a42681",
1033
   970 => x"aa387b80",
1034
   971 => x"08555593",
1035
   972 => x"762780d8",
1036
   973 => x"38747084",
1037
   974 => x"05560880",
1038
   975 => x"08708405",
1039
   976 => x"800c0c80",
1040
   977 => x"08757084",
1041
   978 => x"05570871",
1042
   979 => x"70840553",
1043
   980 => x"0c549b76",
1044
   981 => x"27b63874",
1045
   982 => x"70840556",
1046
   983 => x"08747084",
1047
   984 => x"05560c74",
1048
   985 => x"70840556",
1049
   986 => x"08747084",
1050
   987 => x"05560ca3",
1051
   988 => x"76279938",
1052
   989 => x"74708405",
1053
   990 => x"56087470",
1054
   991 => x"8405560c",
1055
   992 => x"74708405",
1056
   993 => x"56087470",
1057
   994 => x"8405560c",
1058
   995 => x"74708405",
1059
   996 => x"56087470",
1060
   997 => x"8405560c",
1061
   998 => x"74708405",
1062
   999 => x"56087470",
1063
  1000 => x"8405560c",
1064
  1001 => x"7408740c",
1065
  1002 => x"7b526151",
1066
  1003 => x"8bb93f61",
1067
  1004 => x"51a0cc3f",
1068
  1005 => x"78547380",
1069
  1006 => x"0c933d0d",
1070
  1007 => x"047d5261",
1071
  1008 => x"5193b63f",
1072
  1009 => x"8008800c",
1073
  1010 => x"933d0d04",
1074
  1011 => x"84160855",
1075
  1012 => x"fbd13975",
1076
  1013 => x"537b5280",
1077
  1014 => x"0851efc7",
1078
  1015 => x"3f7b5261",
1079
  1016 => x"518b843f",
1080
  1017 => x"ca398c16",
1081
  1018 => x"08881708",
1082
  1019 => x"718c120c",
1083
  1020 => x"88120c55",
1084
  1021 => x"8c1a0888",
1085
  1022 => x"1b08718c",
1086
  1023 => x"120c8812",
1087
  1024 => x"0c557979",
1088
  1025 => x"5957fbf7",
1089
  1026 => x"39771990",
1090
  1027 => x"1c555573",
1091
  1028 => x"7524fba2",
1092
  1029 => x"387a1770",
1093
  1030 => x"80d4f40b",
1094
  1031 => x"88050c75",
1095
  1032 => x"7c318107",
1096
  1033 => x"84120c5d",
1097
  1034 => x"84170881",
1098
  1035 => x"067b0784",
1099
  1036 => x"180c6151",
1100
  1037 => x"9fc93f88",
1101
  1038 => x"1754fce5",
1102
  1039 => x"39741918",
1103
  1040 => x"901c555d",
1104
  1041 => x"737d24fb",
1105
  1042 => x"95388c1a",
1106
  1043 => x"08881b08",
1107
  1044 => x"718c120c",
1108
  1045 => x"88120c55",
1109
  1046 => x"881a61fc",
1110
  1047 => x"05575975",
1111
  1048 => x"a42681ae",
1112
  1049 => x"387b7955",
1113
  1050 => x"55937627",
1114
  1051 => x"80c9387b",
1115
  1052 => x"7084055d",
1116
  1053 => x"087c5679",
1117
  1054 => x"0c747084",
1118
  1055 => x"0556088c",
1119
  1056 => x"1b0c901a",
1120
  1057 => x"549b7627",
1121
  1058 => x"ae387470",
1122
  1059 => x"84055608",
1123
  1060 => x"740c7470",
1124
  1061 => x"84055608",
1125
  1062 => x"941b0c98",
1126
  1063 => x"1a54a376",
1127
  1064 => x"27953874",
1128
  1065 => x"70840556",
1129
  1066 => x"08740c74",
1130
  1067 => x"70840556",
1131
  1068 => x"089c1b0c",
1132
  1069 => x"a01a5474",
1133
  1070 => x"70840556",
1134
  1071 => x"08747084",
1135
  1072 => x"05560c74",
1136
  1073 => x"70840556",
1137
  1074 => x"08747084",
1138
  1075 => x"05560c74",
1139
  1076 => x"08740c7a",
1140
  1077 => x"1a7080d4",
1141
  1078 => x"f40b8805",
1142
  1079 => x"0c7d7c31",
1143
  1080 => x"81078412",
1144
  1081 => x"0c54841a",
1145
  1082 => x"0881067b",
1146
  1083 => x"07841b0c",
1147
  1084 => x"61519e8b",
1148
  1085 => x"3f7854fd",
1149
  1086 => x"bd397553",
1150
  1087 => x"7b527851",
1151
  1088 => x"eda13ffa",
1152
  1089 => x"f5398417",
1153
  1090 => x"08fc0618",
1154
  1091 => x"605858fa",
1155
  1092 => x"e9397553",
1156
  1093 => x"7b527851",
1157
  1094 => x"ed893f7a",
1158
  1095 => x"1a7080d4",
1159
  1096 => x"f40b8805",
1160
  1097 => x"0c7d7c31",
1161
  1098 => x"81078412",
1162
  1099 => x"0c54841a",
1163
  1100 => x"0881067b",
1164
  1101 => x"07841b0c",
1165
  1102 => x"ffb639fa",
1166
  1103 => x"3d0d7880",
1167
  1104 => x"cdb80854",
1168
  1105 => x"55b81308",
1169
  1106 => x"802e81b6",
1170
  1107 => x"388c1522",
1171
  1108 => x"7083ffff",
1172
  1109 => x"0670832a",
1173
  1110 => x"81327081",
1174
  1111 => x"06515555",
1175
  1112 => x"5672802e",
1176
  1113 => x"80dc3873",
1177
  1114 => x"842a8132",
1178
  1115 => x"810657ff",
1179
  1116 => x"537680f7",
1180
  1117 => x"3873822a",
1181
  1118 => x"70810651",
1182
  1119 => x"5372802e",
1183
  1120 => x"b938b015",
1184
  1121 => x"08547380",
1185
  1122 => x"2e9c3880",
1186
  1123 => x"c0155373",
1187
  1124 => x"732e8f38",
1188
  1125 => x"735280cd",
1189
  1126 => x"b8085187",
1190
  1127 => x"ca3f8c15",
1191
  1128 => x"225676b0",
1192
  1129 => x"160c75db",
1193
  1130 => x"0653728c",
1194
  1131 => x"1623800b",
1195
  1132 => x"84160c90",
1196
  1133 => x"1508750c",
1197
  1134 => x"72567588",
1198
  1135 => x"0753728c",
1199
  1136 => x"16239015",
1200
  1137 => x"08802e80",
1201
  1138 => x"c1388c15",
1202
  1139 => x"22708106",
1203
  1140 => x"5553739e",
1204
  1141 => x"38720a10",
1205
  1142 => x"0a708106",
1206
  1143 => x"51537285",
1207
  1144 => x"38941508",
1208
  1145 => x"54738816",
1209
  1146 => x"0c805372",
1210
  1147 => x"800c883d",
1211
  1148 => x"0d04800b",
1212
  1149 => x"88160c94",
1213
  1150 => x"15083098",
1214
  1151 => x"160c8053",
1215
  1152 => x"ea397251",
1216
  1153 => x"82fb3ffe",
1217
  1154 => x"c4397451",
1218
  1155 => x"8ce83f8c",
1219
  1156 => x"15227081",
1220
  1157 => x"06555373",
1221
  1158 => x"802effb9",
1222
  1159 => x"38d439f8",
1223
  1160 => x"3d0d7a58",
1224
  1161 => x"77802e81",
1225
  1162 => x"993880cd",
1226
  1163 => x"b80854b8",
1227
  1164 => x"1408802e",
1228
  1165 => x"80ed388c",
1229
  1166 => x"18227090",
1230
  1167 => x"2b70902c",
1231
  1168 => x"70832a81",
1232
  1169 => x"3281065c",
1233
  1170 => x"51575478",
1234
  1171 => x"80cd3890",
1235
  1172 => x"18085776",
1236
  1173 => x"802e80c3",
1237
  1174 => x"38770877",
1238
  1175 => x"3177790c",
1239
  1176 => x"7683067a",
1240
  1177 => x"58555573",
1241
  1178 => x"85389418",
1242
  1179 => x"08567588",
1243
  1180 => x"190c8075",
1244
  1181 => x"25a53874",
1245
  1182 => x"5376529c",
1246
  1183 => x"180851a4",
1247
  1184 => x"18085473",
1248
  1185 => x"2d800b80",
1249
  1186 => x"082580c9",
1250
  1187 => x"38800817",
1251
  1188 => x"75800831",
1252
  1189 => x"56577480",
1253
  1190 => x"24dd3880",
1254
  1191 => x"0b800c8a",
1255
  1192 => x"3d0d0473",
1256
  1193 => x"5181da3f",
1257
  1194 => x"8c182270",
1258
  1195 => x"902b7090",
1259
  1196 => x"2c70832a",
1260
  1197 => x"81328106",
1261
  1198 => x"5c515754",
1262
  1199 => x"78dd38ff",
1263
  1200 => x"8e39a49f",
1264
  1201 => x"5280cdb8",
1265
  1202 => x"085189f1",
1266
  1203 => x"3f800880",
1267
  1204 => x"0c8a3d0d",
1268
  1205 => x"048c1822",
1269
  1206 => x"80c00754",
1270
  1207 => x"738c1923",
1271
  1208 => x"ff0b800c",
1272
  1209 => x"8a3d0d04",
1273
  1210 => x"803d0d72",
1274
  1211 => x"5180710c",
1275
  1212 => x"800b8412",
1276
  1213 => x"0c800b88",
1277
  1214 => x"120c028e",
1278
  1215 => x"05228c12",
1279
  1216 => x"23029205",
1280
  1217 => x"228e1223",
1281
  1218 => x"800b9012",
1282
  1219 => x"0c800b94",
1283
  1220 => x"120c800b",
1284
  1221 => x"98120c70",
1285
  1222 => x"9c120c80",
1286
  1223 => x"c0b40ba0",
1287
  1224 => x"120c80c1",
1288
  1225 => x"800ba412",
1289
  1226 => x"0c80c1fc",
1290
  1227 => x"0ba8120c",
1291
  1228 => x"80c2cd0b",
1292
  1229 => x"ac120c82",
1293
  1230 => x"3d0d04fa",
1294
  1231 => x"3d0d7970",
1295
  1232 => x"80dc298c",
1296
  1233 => x"11547a53",
1297
  1234 => x"56578cad",
1298
  1235 => x"3f800880",
1299
  1236 => x"08555680",
1300
  1237 => x"08802ea2",
1301
  1238 => x"3880088c",
1302
  1239 => x"0554800b",
1303
  1240 => x"80080c76",
1304
  1241 => x"80088405",
1305
  1242 => x"0c738008",
1306
  1243 => x"88050c74",
1307
  1244 => x"53805273",
1308
  1245 => x"5197f83f",
1309
  1246 => x"75547380",
1310
  1247 => x"0c883d0d",
1311
  1248 => x"04fc3d0d",
1312
  1249 => x"76a9940b",
1313
  1250 => x"bc120c55",
1314
  1251 => x"810bb816",
1315
  1252 => x"0c800b84",
1316
  1253 => x"dc160c83",
1317
  1254 => x"0b84e016",
1318
  1255 => x"0c84e815",
1319
  1256 => x"84e4160c",
1320
  1257 => x"74548053",
1321
  1258 => x"84528415",
1322
  1259 => x"0851feb8",
1323
  1260 => x"3f745481",
1324
  1261 => x"53895288",
1325
  1262 => x"150851fe",
1326
  1263 => x"ab3f7454",
1327
  1264 => x"82538a52",
1328
  1265 => x"8c150851",
1329
  1266 => x"fe9e3f86",
1330
  1267 => x"3d0d04f9",
1331
  1268 => x"3d0d7980",
1332
  1269 => x"cdb80854",
1333
  1270 => x"57b81308",
1334
  1271 => x"802e80c8",
1335
  1272 => x"3884dc13",
1336
  1273 => x"56881608",
1337
  1274 => x"841708ff",
1338
  1275 => x"05555580",
1339
  1276 => x"74249f38",
1340
  1277 => x"8c152270",
1341
  1278 => x"902b7090",
1342
  1279 => x"2c515458",
1343
  1280 => x"72802e80",
1344
  1281 => x"ca3880dc",
1345
  1282 => x"15ff1555",
1346
  1283 => x"55738025",
1347
  1284 => x"e3387508",
1348
  1285 => x"5372802e",
1349
  1286 => x"9f387256",
1350
  1287 => x"88160884",
1351
  1288 => x"1708ff05",
1352
  1289 => x"5555c839",
1353
  1290 => x"7251fed5",
1354
  1291 => x"3f80cdb8",
1355
  1292 => x"0884dc05",
1356
  1293 => x"56ffae39",
1357
  1294 => x"84527651",
1358
  1295 => x"fdfd3f80",
1359
  1296 => x"08760c80",
1360
  1297 => x"08802e80",
1361
  1298 => x"c0388008",
1362
  1299 => x"56ce3981",
1363
  1300 => x"0b8c1623",
1364
  1301 => x"72750c72",
1365
  1302 => x"88160c72",
1366
  1303 => x"84160c72",
1367
  1304 => x"90160c72",
1368
  1305 => x"94160c72",
1369
  1306 => x"98160cff",
1370
  1307 => x"0b8e1623",
1371
  1308 => x"72b0160c",
1372
  1309 => x"72b4160c",
1373
  1310 => x"7280c416",
1374
  1311 => x"0c7280c8",
1375
  1312 => x"160c7480",
1376
  1313 => x"0c893d0d",
1377
  1314 => x"048c770c",
1378
  1315 => x"800b800c",
1379
  1316 => x"893d0d04",
1380
  1317 => x"ff3d0da4",
1381
  1318 => x"9f527351",
1382
  1319 => x"869f3f83",
1383
  1320 => x"3d0d0480",
1384
  1321 => x"3d0d80cd",
1385
  1322 => x"b80851e8",
1386
  1323 => x"3f823d0d",
1387
  1324 => x"04fb3d0d",
1388
  1325 => x"77705256",
1389
  1326 => x"96c43f80",
1390
  1327 => x"d4f40b88",
1391
  1328 => x"05088411",
1392
  1329 => x"08fc0670",
1393
  1330 => x"7b319fef",
1394
  1331 => x"05e08006",
1395
  1332 => x"e0800556",
1396
  1333 => x"5653a080",
1397
  1334 => x"74249438",
1398
  1335 => x"80527551",
1399
  1336 => x"969e3f80",
1400
  1337 => x"d4fc0815",
1401
  1338 => x"53728008",
1402
  1339 => x"2e8f3875",
1403
  1340 => x"51968c3f",
1404
  1341 => x"80537280",
1405
  1342 => x"0c873d0d",
1406
  1343 => x"04733052",
1407
  1344 => x"755195fc",
1408
  1345 => x"3f8008ff",
1409
  1346 => x"2ea83880",
1410
  1347 => x"d4f40b88",
1411
  1348 => x"05087575",
1412
  1349 => x"31810784",
1413
  1350 => x"120c5380",
1414
  1351 => x"d4b80874",
1415
  1352 => x"3180d4b8",
1416
  1353 => x"0c755195",
1417
  1354 => x"d63f810b",
1418
  1355 => x"800c873d",
1419
  1356 => x"0d048052",
1420
  1357 => x"755195c8",
1421
  1358 => x"3f80d4f4",
1422
  1359 => x"0b880508",
1423
  1360 => x"80087131",
1424
  1361 => x"56538f75",
1425
  1362 => x"25ffa438",
1426
  1363 => x"800880d4",
1427
  1364 => x"e8083180",
1428
  1365 => x"d4b80c74",
1429
  1366 => x"81078414",
1430
  1367 => x"0c755195",
1431
  1368 => x"9e3f8053",
1432
  1369 => x"ff9039f6",
1433
  1370 => x"3d0d7c7e",
1434
  1371 => x"545b7280",
1435
  1372 => x"2e828338",
1436
  1373 => x"7a519586",
1437
  1374 => x"3ff81384",
1438
  1375 => x"110870fe",
1439
  1376 => x"06701384",
1440
  1377 => x"1108fc06",
1441
  1378 => x"5d585954",
1442
  1379 => x"5880d4fc",
1443
  1380 => x"08752e82",
1444
  1381 => x"de387884",
1445
  1382 => x"160c8073",
1446
  1383 => x"8106545a",
1447
  1384 => x"727a2e81",
1448
  1385 => x"d5387815",
1449
  1386 => x"84110881",
1450
  1387 => x"06515372",
1451
  1388 => x"a0387817",
1452
  1389 => x"577981e6",
1453
  1390 => x"38881508",
1454
  1391 => x"537280d4",
1455
  1392 => x"fc2e82f9",
1456
  1393 => x"388c1508",
1457
  1394 => x"708c150c",
1458
  1395 => x"7388120c",
1459
  1396 => x"56768107",
1460
  1397 => x"84190c76",
1461
  1398 => x"1877710c",
1462
  1399 => x"53798191",
1463
  1400 => x"3883ff77",
1464
  1401 => x"2781c838",
1465
  1402 => x"76892a77",
1466
  1403 => x"832a5653",
1467
  1404 => x"72802ebf",
1468
  1405 => x"3876862a",
1469
  1406 => x"b8055584",
1470
  1407 => x"7327b438",
1471
  1408 => x"80db1355",
1472
  1409 => x"947327ab",
1473
  1410 => x"38768c2a",
1474
  1411 => x"80ee0555",
1475
  1412 => x"80d47327",
1476
  1413 => x"9e38768f",
1477
  1414 => x"2a80f705",
1478
  1415 => x"5582d473",
1479
  1416 => x"27913876",
1480
  1417 => x"922a80fc",
1481
  1418 => x"05558ad4",
1482
  1419 => x"73278438",
1483
  1420 => x"80fe5574",
1484
  1421 => x"10101080",
1485
  1422 => x"d4f40588",
1486
  1423 => x"11085556",
1487
  1424 => x"73762e82",
1488
  1425 => x"b3388414",
1489
  1426 => x"08fc0653",
1490
  1427 => x"7673278d",
1491
  1428 => x"38881408",
1492
  1429 => x"5473762e",
1493
  1430 => x"098106ea",
1494
  1431 => x"388c1408",
1495
  1432 => x"708c1a0c",
1496
  1433 => x"74881a0c",
1497
  1434 => x"7888120c",
1498
  1435 => x"56778c15",
1499
  1436 => x"0c7a5193",
1500
  1437 => x"8a3f8c3d",
1501
  1438 => x"0d047708",
1502
  1439 => x"78713159",
1503
  1440 => x"77058819",
1504
  1441 => x"08545772",
1505
  1442 => x"80d4fc2e",
1506
  1443 => x"80e0388c",
1507
  1444 => x"1808708c",
1508
  1445 => x"150c7388",
1509
  1446 => x"120c56fe",
1510
  1447 => x"89398815",
1511
  1448 => x"088c1608",
1512
  1449 => x"708c130c",
1513
  1450 => x"5788170c",
1514
  1451 => x"fea33976",
1515
  1452 => x"832a7054",
1516
  1453 => x"55807524",
1517
  1454 => x"81983872",
1518
  1455 => x"822c8171",
1519
  1456 => x"2b80d4f8",
1520
  1457 => x"080780d4",
1521
  1458 => x"f40b8405",
1522
  1459 => x"0c537410",
1523
  1460 => x"101080d4",
1524
  1461 => x"f4058811",
1525
  1462 => x"08555675",
1526
  1463 => x"8c190c73",
1527
  1464 => x"88190c77",
1528
  1465 => x"88170c77",
1529
  1466 => x"8c150cff",
1530
  1467 => x"8439815a",
1531
  1468 => x"fdb43978",
1532
  1469 => x"17738106",
1533
  1470 => x"54577298",
1534
  1471 => x"38770878",
1535
  1472 => x"71315977",
1536
  1473 => x"058c1908",
1537
  1474 => x"881a0871",
1538
  1475 => x"8c120c88",
1539
  1476 => x"120c5757",
1540
  1477 => x"76810784",
1541
  1478 => x"190c7780",
1542
  1479 => x"d4f40b88",
1543
  1480 => x"050c80d4",
1544
  1481 => x"f0087726",
1545
  1482 => x"fec73880",
1546
  1483 => x"d4ec0852",
1547
  1484 => x"7a51fafd",
1548
  1485 => x"3f7a5191",
1549
  1486 => x"c63ffeba",
1550
  1487 => x"3981788c",
1551
  1488 => x"150c7888",
1552
  1489 => x"150c738c",
1553
  1490 => x"1a0c7388",
1554
  1491 => x"1a0c5afd",
1555
  1492 => x"80398315",
1556
  1493 => x"70822c81",
1557
  1494 => x"712b80d4",
1558
  1495 => x"f8080780",
1559
  1496 => x"d4f40b84",
1560
  1497 => x"050c5153",
1561
  1498 => x"74101010",
1562
  1499 => x"80d4f405",
1563
  1500 => x"88110855",
1564
  1501 => x"56fee439",
1565
  1502 => x"74538075",
1566
  1503 => x"24a73872",
1567
  1504 => x"822c8171",
1568
  1505 => x"2b80d4f8",
1569
  1506 => x"080780d4",
1570
  1507 => x"f40b8405",
1571
  1508 => x"0c53758c",
1572
  1509 => x"190c7388",
1573
  1510 => x"190c7788",
1574
  1511 => x"170c778c",
1575
  1512 => x"150cfdcd",
1576
  1513 => x"39831570",
1577
  1514 => x"822c8171",
1578
  1515 => x"2b80d4f8",
1579
  1516 => x"080780d4",
1580
  1517 => x"f40b8405",
1581
  1518 => x"0c5153d6",
1582
  1519 => x"39f93d0d",
1583
  1520 => x"797b5853",
1584
  1521 => x"800b80cd",
1585
  1522 => x"b8085356",
1586
  1523 => x"72722e80",
1587
  1524 => x"c03884dc",
1588
  1525 => x"13557476",
1589
  1526 => x"2eb73888",
1590
  1527 => x"15088416",
1591
  1528 => x"08ff0554",
1592
  1529 => x"54807324",
1593
  1530 => x"9d388c14",
1594
  1531 => x"2270902b",
1595
  1532 => x"70902c51",
1596
  1533 => x"53587180",
1597
  1534 => x"d83880dc",
1598
  1535 => x"14ff1454",
1599
  1536 => x"54728025",
1600
  1537 => x"e5387408",
1601
  1538 => x"5574d038",
1602
  1539 => x"80cdb808",
1603
  1540 => x"5284dc12",
1604
  1541 => x"5574802e",
1605
  1542 => x"b1388815",
1606
  1543 => x"08841608",
1607
  1544 => x"ff055454",
1608
  1545 => x"8073249c",
1609
  1546 => x"388c1422",
1610
  1547 => x"70902b70",
1611
  1548 => x"902c5153",
1612
  1549 => x"5871ad38",
1613
  1550 => x"80dc14ff",
1614
  1551 => x"14545472",
1615
  1552 => x"8025e638",
1616
  1553 => x"74085574",
1617
  1554 => x"d1387580",
1618
  1555 => x"0c893d0d",
1619
  1556 => x"04735176",
1620
  1557 => x"2d758008",
1621
  1558 => x"0780dc15",
1622
  1559 => x"ff155555",
1623
  1560 => x"56ff9e39",
1624
  1561 => x"7351762d",
1625
  1562 => x"75800807",
1626
  1563 => x"80dc15ff",
1627
  1564 => x"15555556",
1628
  1565 => x"ca39ea3d",
1629
  1566 => x"0d688c11",
1630
  1567 => x"22700a10",
1631
  1568 => x"0a810657",
1632
  1569 => x"58567480",
1633
  1570 => x"e4388e16",
1634
  1571 => x"2270902b",
1635
  1572 => x"70902c51",
1636
  1573 => x"55588074",
1637
  1574 => x"24b13898",
1638
  1575 => x"3dc40553",
1639
  1576 => x"735280cd",
1640
  1577 => x"b8085192",
1641
  1578 => x"ac3f800b",
1642
  1579 => x"80082497",
1643
  1580 => x"387983e0",
1644
  1581 => x"80065473",
1645
  1582 => x"80c0802e",
1646
  1583 => x"818f3873",
1647
  1584 => x"8280802e",
1648
  1585 => x"8191388c",
1649
  1586 => x"16225776",
1650
  1587 => x"90800754",
1651
  1588 => x"738c1723",
1652
  1589 => x"88805280",
1653
  1590 => x"cdb80851",
1654
  1591 => x"819b3f80",
1655
  1592 => x"089d388c",
1656
  1593 => x"16228207",
1657
  1594 => x"54738c17",
1658
  1595 => x"2380c316",
1659
  1596 => x"70770c90",
1660
  1597 => x"170c810b",
1661
  1598 => x"94170c98",
1662
  1599 => x"3d0d0480",
1663
  1600 => x"cdb808a9",
1664
  1601 => x"940bbc12",
1665
  1602 => x"0c548c16",
1666
  1603 => x"22818007",
1667
  1604 => x"54738c17",
1668
  1605 => x"23800876",
1669
  1606 => x"0c800890",
1670
  1607 => x"170c8880",
1671
  1608 => x"0b94170c",
1672
  1609 => x"74802ed3",
1673
  1610 => x"388e1622",
1674
  1611 => x"70902b70",
1675
  1612 => x"902c5355",
1676
  1613 => x"5898a23f",
1677
  1614 => x"8008802e",
1678
  1615 => x"ffbd388c",
1679
  1616 => x"16228107",
1680
  1617 => x"54738c17",
1681
  1618 => x"23983d0d",
1682
  1619 => x"04810b8c",
1683
  1620 => x"17225855",
1684
  1621 => x"fef539a8",
1685
  1622 => x"160880c1",
1686
  1623 => x"fc2e0981",
1687
  1624 => x"06fee438",
1688
  1625 => x"8c162288",
1689
  1626 => x"80075473",
1690
  1627 => x"8c172388",
1691
  1628 => x"800b80cc",
1692
  1629 => x"170cfedc",
1693
  1630 => x"39f33d0d",
1694
  1631 => x"7f618b11",
1695
  1632 => x"70f8065c",
1696
  1633 => x"55555e72",
1697
  1634 => x"96268338",
1698
  1635 => x"90598079",
1699
  1636 => x"24747a26",
1700
  1637 => x"07538054",
1701
  1638 => x"72742e09",
1702
  1639 => x"810680cb",
1703
  1640 => x"387d518c",
1704
  1641 => x"d93f7883",
1705
  1642 => x"f72680c6",
1706
  1643 => x"3878832a",
1707
  1644 => x"70101010",
1708
  1645 => x"80d4f405",
1709
  1646 => x"8c110859",
1710
  1647 => x"595a7678",
1711
  1648 => x"2e83b038",
1712
  1649 => x"841708fc",
1713
  1650 => x"06568c17",
1714
  1651 => x"08881808",
1715
  1652 => x"718c120c",
1716
  1653 => x"88120c58",
1717
  1654 => x"75178411",
1718
  1655 => x"08810784",
1719
  1656 => x"120c537d",
1720
  1657 => x"518c983f",
1721
  1658 => x"88175473",
1722
  1659 => x"800c8f3d",
1723
  1660 => x"0d047889",
1724
  1661 => x"2a79832a",
1725
  1662 => x"5b537280",
1726
  1663 => x"2ebf3878",
1727
  1664 => x"862ab805",
1728
  1665 => x"5a847327",
1729
  1666 => x"b43880db",
1730
  1667 => x"135a9473",
1731
  1668 => x"27ab3878",
1732
  1669 => x"8c2a80ee",
1733
  1670 => x"055a80d4",
1734
  1671 => x"73279e38",
1735
  1672 => x"788f2a80",
1736
  1673 => x"f7055a82",
1737
  1674 => x"d4732791",
1738
  1675 => x"3878922a",
1739
  1676 => x"80fc055a",
1740
  1677 => x"8ad47327",
1741
  1678 => x"843880fe",
1742
  1679 => x"5a791010",
1743
  1680 => x"1080d4f4",
1744
  1681 => x"058c1108",
1745
  1682 => x"58557675",
1746
  1683 => x"2ea33884",
1747
  1684 => x"1708fc06",
1748
  1685 => x"707a3155",
1749
  1686 => x"56738f24",
1750
  1687 => x"88d53873",
1751
  1688 => x"8025fee6",
1752
  1689 => x"388c1708",
1753
  1690 => x"5776752e",
1754
  1691 => x"098106df",
1755
  1692 => x"38811a5a",
1756
  1693 => x"80d58408",
1757
  1694 => x"577680d4",
1758
  1695 => x"fc2e82c0",
1759
  1696 => x"38841708",
1760
  1697 => x"fc06707a",
1761
  1698 => x"31555673",
1762
  1699 => x"8f2481f9",
1763
  1700 => x"3880d4fc",
1764
  1701 => x"0b80d588",
1765
  1702 => x"0c80d4fc",
1766
  1703 => x"0b80d584",
1767
  1704 => x"0c738025",
1768
  1705 => x"feb23883",
1769
  1706 => x"ff762783",
1770
  1707 => x"df387589",
1771
  1708 => x"2a76832a",
1772
  1709 => x"55537280",
1773
  1710 => x"2ebf3875",
1774
  1711 => x"862ab805",
1775
  1712 => x"54847327",
1776
  1713 => x"b43880db",
1777
  1714 => x"13549473",
1778
  1715 => x"27ab3875",
1779
  1716 => x"8c2a80ee",
1780
  1717 => x"055480d4",
1781
  1718 => x"73279e38",
1782
  1719 => x"758f2a80",
1783
  1720 => x"f7055482",
1784
  1721 => x"d4732791",
1785
  1722 => x"3875922a",
1786
  1723 => x"80fc0554",
1787
  1724 => x"8ad47327",
1788
  1725 => x"843880fe",
1789
  1726 => x"54731010",
1790
  1727 => x"1080d4f4",
1791
  1728 => x"05881108",
1792
  1729 => x"56587478",
1793
  1730 => x"2e86cf38",
1794
  1731 => x"841508fc",
1795
  1732 => x"06537573",
1796
  1733 => x"278d3888",
1797
  1734 => x"15085574",
1798
  1735 => x"782e0981",
1799
  1736 => x"06ea388c",
1800
  1737 => x"150880d4",
1801
  1738 => x"f40b8405",
1802
  1739 => x"08718c1a",
1803
  1740 => x"0c76881a",
1804
  1741 => x"0c788813",
1805
  1742 => x"0c788c18",
1806
  1743 => x"0c5d5879",
1807
  1744 => x"53807a24",
1808
  1745 => x"83e63872",
1809
  1746 => x"822c8171",
1810
  1747 => x"2b5c537a",
1811
  1748 => x"7c268198",
1812
  1749 => x"387b7b06",
1813
  1750 => x"537282f1",
1814
  1751 => x"3879fc06",
1815
  1752 => x"84055a7a",
1816
  1753 => x"10707d06",
1817
  1754 => x"545b7282",
1818
  1755 => x"e038841a",
1819
  1756 => x"5af13988",
1820
  1757 => x"178c1108",
1821
  1758 => x"58587678",
1822
  1759 => x"2e098106",
1823
  1760 => x"fcc23882",
1824
  1761 => x"1a5afdec",
1825
  1762 => x"39781779",
1826
  1763 => x"81078419",
1827
  1764 => x"0c7080d5",
1828
  1765 => x"880c7080",
1829
  1766 => x"d5840c80",
1830
  1767 => x"d4fc0b8c",
1831
  1768 => x"120c8c11",
1832
  1769 => x"0888120c",
1833
  1770 => x"74810784",
1834
  1771 => x"120c7411",
1835
  1772 => x"75710c51",
1836
  1773 => x"537d5188",
1837
  1774 => x"c63f8817",
1838
  1775 => x"54fcac39",
1839
  1776 => x"80d4f40b",
1840
  1777 => x"8405087a",
1841
  1778 => x"545c7980",
1842
  1779 => x"25fef838",
1843
  1780 => x"82da397a",
1844
  1781 => x"097c0670",
1845
  1782 => x"80d4f40b",
1846
  1783 => x"84050c5c",
1847
  1784 => x"7a105b7a",
1848
  1785 => x"7c268538",
1849
  1786 => x"7a85b838",
1850
  1787 => x"80d4f40b",
1851
  1788 => x"88050870",
1852
  1789 => x"841208fc",
1853
  1790 => x"06707c31",
1854
  1791 => x"7c72268f",
1855
  1792 => x"72250757",
1856
  1793 => x"575c5d55",
1857
  1794 => x"72802e80",
1858
  1795 => x"db38797a",
1859
  1796 => x"1680d4ec",
1860
  1797 => x"081b9011",
1861
  1798 => x"5a55575b",
1862
  1799 => x"80d4e808",
1863
  1800 => x"ff2e8838",
1864
  1801 => x"a08f13e0",
1865
  1802 => x"80065776",
1866
  1803 => x"527d5187",
1867
  1804 => x"cf3f8008",
1868
  1805 => x"548008ff",
1869
  1806 => x"2e903880",
1870
  1807 => x"08762782",
1871
  1808 => x"99387480",
1872
  1809 => x"d4f42e82",
1873
  1810 => x"913880d4",
1874
  1811 => x"f40b8805",
1875
  1812 => x"08558415",
1876
  1813 => x"08fc0670",
1877
  1814 => x"7a317a72",
1878
  1815 => x"268f7225",
1879
  1816 => x"07525553",
1880
  1817 => x"7283e638",
1881
  1818 => x"74798107",
1882
  1819 => x"84170c79",
1883
  1820 => x"167080d4",
1884
  1821 => x"f40b8805",
1885
  1822 => x"0c758107",
1886
  1823 => x"84120c54",
1887
  1824 => x"7e525786",
1888
  1825 => x"fa3f8817",
1889
  1826 => x"54fae039",
1890
  1827 => x"75832a70",
1891
  1828 => x"54548074",
1892
  1829 => x"24819b38",
1893
  1830 => x"72822c81",
1894
  1831 => x"712b80d4",
1895
  1832 => x"f8080770",
1896
  1833 => x"80d4f40b",
1897
  1834 => x"84050c75",
1898
  1835 => x"10101080",
1899
  1836 => x"d4f40588",
1900
  1837 => x"1108585a",
1901
  1838 => x"5d53778c",
1902
  1839 => x"180c7488",
1903
  1840 => x"180c7688",
1904
  1841 => x"190c768c",
1905
  1842 => x"160cfcf3",
1906
  1843 => x"39797a10",
1907
  1844 => x"101080d4",
1908
  1845 => x"f4057057",
1909
  1846 => x"595d8c15",
1910
  1847 => x"08577675",
1911
  1848 => x"2ea33884",
1912
  1849 => x"1708fc06",
1913
  1850 => x"707a3155",
1914
  1851 => x"56738f24",
1915
  1852 => x"83ca3873",
1916
  1853 => x"80258481",
1917
  1854 => x"388c1708",
1918
  1855 => x"5776752e",
1919
  1856 => x"098106df",
1920
  1857 => x"38881581",
1921
  1858 => x"1b708306",
1922
  1859 => x"555b5572",
1923
  1860 => x"c9387c83",
1924
  1861 => x"06537280",
1925
  1862 => x"2efdb838",
1926
  1863 => x"ff1df819",
1927
  1864 => x"595d8818",
1928
  1865 => x"08782eea",
1929
  1866 => x"38fdb539",
1930
  1867 => x"831a53fc",
1931
  1868 => x"96398314",
1932
  1869 => x"70822c81",
1933
  1870 => x"712b80d4",
1934
  1871 => x"f8080770",
1935
  1872 => x"80d4f40b",
1936
  1873 => x"84050c76",
1937
  1874 => x"10101080",
1938
  1875 => x"d4f40588",
1939
  1876 => x"1108595b",
1940
  1877 => x"5e5153fe",
1941
  1878 => x"e13980d4",
1942
  1879 => x"b8081758",
1943
  1880 => x"8008762e",
1944
  1881 => x"818d3880",
1945
  1882 => x"d4e808ff",
1946
  1883 => x"2e83ec38",
1947
  1884 => x"73763118",
1948
  1885 => x"80d4b80c",
1949
  1886 => x"73870670",
1950
  1887 => x"57537280",
1951
  1888 => x"2e883888",
1952
  1889 => x"73317015",
1953
  1890 => x"55567614",
1954
  1891 => x"9fff06a0",
1955
  1892 => x"80713117",
1956
  1893 => x"70547f53",
1957
  1894 => x"575384e4",
1958
  1895 => x"3f800853",
1959
  1896 => x"8008ff2e",
1960
  1897 => x"81a03880",
1961
  1898 => x"d4b80816",
1962
  1899 => x"7080d4b8",
1963
  1900 => x"0c747580",
1964
  1901 => x"d4f40b88",
1965
  1902 => x"050c7476",
1966
  1903 => x"31187081",
1967
  1904 => x"07515556",
1968
  1905 => x"587b80d4",
1969
  1906 => x"f42e839c",
1970
  1907 => x"38798f26",
1971
  1908 => x"82cb3881",
1972
  1909 => x"0b84150c",
1973
  1910 => x"841508fc",
1974
  1911 => x"06707a31",
1975
  1912 => x"7a72268f",
1976
  1913 => x"72250752",
1977
  1914 => x"55537280",
1978
  1915 => x"2efcf938",
1979
  1916 => x"80db3980",
1980
  1917 => x"089fff06",
1981
  1918 => x"5372feeb",
1982
  1919 => x"387780d4",
1983
  1920 => x"b80c80d4",
1984
  1921 => x"f40b8805",
1985
  1922 => x"087b1881",
1986
  1923 => x"0784120c",
1987
  1924 => x"5580d4e4",
1988
  1925 => x"08782786",
1989
  1926 => x"387780d4",
1990
  1927 => x"e40c80d4",
1991
  1928 => x"e0087827",
1992
  1929 => x"fcac3877",
1993
  1930 => x"80d4e00c",
1994
  1931 => x"841508fc",
1995
  1932 => x"06707a31",
1996
  1933 => x"7a72268f",
1997
  1934 => x"72250752",
1998
  1935 => x"55537280",
1999
  1936 => x"2efca538",
2000
  1937 => x"88398074",
2001
  1938 => x"5456fedb",
2002
  1939 => x"397d5183",
2003
  1940 => x"ae3f800b",
2004
  1941 => x"800c8f3d",
2005
  1942 => x"0d047353",
2006
  1943 => x"807424a9",
2007
  1944 => x"3872822c",
2008
  1945 => x"81712b80",
2009
  1946 => x"d4f80807",
2010
  1947 => x"7080d4f4",
2011
  1948 => x"0b84050c",
2012
  1949 => x"5d53778c",
2013
  1950 => x"180c7488",
2014
  1951 => x"180c7688",
2015
  1952 => x"190c768c",
2016
  1953 => x"160cf9b7",
2017
  1954 => x"39831470",
2018
  1955 => x"822c8171",
2019
  1956 => x"2b80d4f8",
2020
  1957 => x"08077080",
2021
  1958 => x"d4f40b84",
2022
  1959 => x"050c5e51",
2023
  1960 => x"53d4397b",
2024
  1961 => x"7b065372",
2025
  1962 => x"fca33884",
2026
  1963 => x"1a7b105c",
2027
  1964 => x"5af139ff",
2028
  1965 => x"1a811151",
2029
  1966 => x"5af7b939",
2030
  1967 => x"78177981",
2031
  1968 => x"0784190c",
2032
  1969 => x"8c180888",
2033
  1970 => x"1908718c",
2034
  1971 => x"120c8812",
2035
  1972 => x"0c597080",
2036
  1973 => x"d5880c70",
2037
  1974 => x"80d5840c",
2038
  1975 => x"80d4fc0b",
2039
  1976 => x"8c120c8c",
2040
  1977 => x"11088812",
2041
  1978 => x"0c748107",
2042
  1979 => x"84120c74",
2043
  1980 => x"1175710c",
2044
  1981 => x"5153f9bd",
2045
  1982 => x"39751784",
2046
  1983 => x"11088107",
2047
  1984 => x"84120c53",
2048
  1985 => x"8c170888",
2049
  1986 => x"1808718c",
2050
  1987 => x"120c8812",
2051
  1988 => x"0c587d51",
2052
  1989 => x"81e93f88",
2053
  1990 => x"1754f5cf",
2054
  1991 => x"39728415",
2055
  1992 => x"0cf41af8",
2056
  1993 => x"0670841e",
2057
  1994 => x"08810607",
2058
  1995 => x"841e0c70",
2059
  1996 => x"1d545b85",
2060
  1997 => x"0b84140c",
2061
  1998 => x"850b8814",
2062
  1999 => x"0c8f7b27",
2063
  2000 => x"fdcf3888",
2064
  2001 => x"1c527d51",
2065
  2002 => x"ec9d3f80",
2066
  2003 => x"d4f40b88",
2067
  2004 => x"050880d4",
2068
  2005 => x"b8085955",
2069
  2006 => x"fdb73977",
2070
  2007 => x"80d4b80c",
2071
  2008 => x"7380d4e8",
2072
  2009 => x"0cfc9139",
2073
  2010 => x"7284150c",
2074
  2011 => x"fda339fc",
2075
  2012 => x"3d0d7679",
2076
  2013 => x"71028c05",
2077
  2014 => x"9f053357",
2078
  2015 => x"55535583",
2079
  2016 => x"72278a38",
2080
  2017 => x"74830651",
2081
  2018 => x"70802ea2",
2082
  2019 => x"38ff1252",
2083
  2020 => x"71ff2e93",
2084
  2021 => x"38737370",
2085
  2022 => x"81055534",
2086
  2023 => x"ff125271",
2087
  2024 => x"ff2e0981",
2088
  2025 => x"06ef3874",
2089
  2026 => x"800c863d",
2090
  2027 => x"0d047474",
2091
  2028 => x"882b7507",
2092
  2029 => x"7071902b",
2093
  2030 => x"07515451",
2094
  2031 => x"8f7227a5",
2095
  2032 => x"38727170",
2096
  2033 => x"8405530c",
2097
  2034 => x"72717084",
2098
  2035 => x"05530c72",
2099
  2036 => x"71708405",
2100
  2037 => x"530c7271",
2101
  2038 => x"70840553",
2102
  2039 => x"0cf01252",
2103
  2040 => x"718f26dd",
2104
  2041 => x"38837227",
2105
  2042 => x"90387271",
2106
  2043 => x"70840553",
2107
  2044 => x"0cfc1252",
2108
  2045 => x"718326f2",
2109
  2046 => x"387053ff",
2110
  2047 => x"90390404",
2111
  2048 => x"fd3d0d80",
2112
  2049 => x"0b80ddb4",
2113
  2050 => x"0c765184",
2114
  2051 => x"ee3f8008",
2115
  2052 => x"538008ff",
2116
  2053 => x"2e883872",
2117
  2054 => x"800c853d",
2118
  2055 => x"0d0480dd",
2119
  2056 => x"b4085473",
2120
  2057 => x"802ef038",
2121
  2058 => x"7574710c",
2122
  2059 => x"5272800c",
2123
  2060 => x"853d0d04",
2124
  2061 => x"f93d0d79",
2125
  2062 => x"7c557b54",
2126
  2063 => x"8e112270",
2127
  2064 => x"902b7090",
2128
  2065 => x"2c555780",
2129
  2066 => x"cdb80853",
2130
  2067 => x"585683f3",
2131
  2068 => x"3f800857",
2132
  2069 => x"800b8008",
2133
  2070 => x"24933880",
2134
  2071 => x"d0160880",
2135
  2072 => x"080580d0",
2136
  2073 => x"170c7680",
2137
  2074 => x"0c893d0d",
2138
  2075 => x"048c1622",
2139
  2076 => x"83dfff06",
2140
  2077 => x"55748c17",
2141
  2078 => x"2376800c",
2142
  2079 => x"893d0d04",
2143
  2080 => x"fa3d0d78",
2144
  2081 => x"8c112270",
2145
  2082 => x"882a7081",
2146
  2083 => x"06515758",
2147
  2084 => x"5674a938",
2148
  2085 => x"8c162283",
2149
  2086 => x"dfff0655",
2150
  2087 => x"748c1723",
2151
  2088 => x"7a547953",
2152
  2089 => x"8e162270",
2153
  2090 => x"902b7090",
2154
  2091 => x"2c545680",
2155
  2092 => x"cdb80852",
2156
  2093 => x"5681b23f",
2157
  2094 => x"883d0d04",
2158
  2095 => x"82548053",
2159
  2096 => x"8e162270",
2160
  2097 => x"902b7090",
2161
  2098 => x"2c545680",
2162
  2099 => x"cdb80852",
2163
  2100 => x"5782b83f",
2164
  2101 => x"8c162283",
2165
  2102 => x"dfff0655",
2166
  2103 => x"748c1723",
2167
  2104 => x"7a547953",
2168
  2105 => x"8e162270",
2169
  2106 => x"902b7090",
2170
  2107 => x"2c545680",
2171
  2108 => x"cdb80852",
2172
  2109 => x"5680f23f",
2173
  2110 => x"883d0d04",
2174
  2111 => x"f93d0d79",
2175
  2112 => x"7c557b54",
2176
  2113 => x"8e112270",
2177
  2114 => x"902b7090",
2178
  2115 => x"2c555780",
2179
  2116 => x"cdb80853",
2180
  2117 => x"585681f3",
2181
  2118 => x"3f800857",
2182
  2119 => x"8008ff2e",
2183
  2120 => x"99388c16",
2184
  2121 => x"22a08007",
2185
  2122 => x"55748c17",
2186
  2123 => x"23800880",
2187
  2124 => x"d0170c76",
2188
  2125 => x"800c893d",
2189
  2126 => x"0d048c16",
2190
  2127 => x"2283dfff",
2191
  2128 => x"0655748c",
2192
  2129 => x"17237680",
2193
  2130 => x"0c893d0d",
2194
  2131 => x"04fe3d0d",
2195
  2132 => x"748e1122",
2196
  2133 => x"70902b70",
2197
  2134 => x"902c5551",
2198
  2135 => x"515380cd",
2199
  2136 => x"b80851bd",
2200
  2137 => x"3f843d0d",
2201
  2138 => x"04fb3d0d",
2202
  2139 => x"800b80dd",
2203
  2140 => x"b40c7a53",
2204
  2141 => x"79527851",
2205
  2142 => x"82fc3f80",
2206
  2143 => x"08558008",
2207
  2144 => x"ff2e8838",
2208
  2145 => x"74800c87",
2209
  2146 => x"3d0d0480",
2210
  2147 => x"ddb40856",
2211
  2148 => x"75802ef0",
2212
  2149 => x"38777671",
2213
  2150 => x"0c547480",
2214
  2151 => x"0c873d0d",
2215
  2152 => x"04fd3d0d",
2216
  2153 => x"800b80dd",
2217
  2154 => x"b40c7651",
2218
  2155 => x"84c63f80",
2219
  2156 => x"08538008",
2220
  2157 => x"ff2e8838",
2221
  2158 => x"72800c85",
2222
  2159 => x"3d0d0480",
2223
  2160 => x"ddb40854",
2224
  2161 => x"73802ef0",
2225
  2162 => x"38757471",
2226
  2163 => x"0c527280",
2227
  2164 => x"0c853d0d",
2228
  2165 => x"04fc3d0d",
2229
  2166 => x"800b80dd",
2230
  2167 => x"b40c7852",
2231
  2168 => x"775186ac",
2232
  2169 => x"3f800854",
2233
  2170 => x"8008ff2e",
2234
  2171 => x"88387380",
2235
  2172 => x"0c863d0d",
2236
  2173 => x"0480ddb4",
2237
  2174 => x"08557480",
2238
  2175 => x"2ef03876",
2239
  2176 => x"75710c53",
2240
  2177 => x"73800c86",
2241
  2178 => x"3d0d04fb",
2242
  2179 => x"3d0d800b",
2243
  2180 => x"80ddb40c",
2244
  2181 => x"7a537952",
2245
  2182 => x"78518489",
2246
  2183 => x"3f800855",
2247
  2184 => x"8008ff2e",
2248
  2185 => x"88387480",
2249
  2186 => x"0c873d0d",
2250
  2187 => x"0480ddb4",
2251
  2188 => x"08567580",
2252
  2189 => x"2ef03877",
2253
  2190 => x"76710c54",
2254
  2191 => x"74800c87",
2255
  2192 => x"3d0d04fb",
2256
  2193 => x"3d0d800b",
2257
  2194 => x"80ddb40c",
2258
  2195 => x"7a537952",
2259
  2196 => x"78518296",
2260
  2197 => x"3f800855",
2261
  2198 => x"8008ff2e",
2262
  2199 => x"88387480",
2263
  2200 => x"0c873d0d",
2264
  2201 => x"0480ddb4",
2265
  2202 => x"08567580",
2266
  2203 => x"2ef03877",
2267
  2204 => x"76710c54",
2268
  2205 => x"74800c87",
2269
  2206 => x"3d0d04fe",
2270
  2207 => x"3d0d80dd",
2271
  2208 => x"a4085170",
2272
  2209 => x"8a3880dd",
2273
  2210 => x"b87080dd",
2274
  2211 => x"a40c5170",
2275
  2212 => x"75125252",
2276
  2213 => x"ff537087",
2277
  2214 => x"fb808026",
2278
  2215 => x"88387080",
2279
  2216 => x"dda40c71",
2280
  2217 => x"5372800c",
2281
  2218 => x"843d0d04",
2282
  2219 => x"fd3d0d80",
2283
  2220 => x"0b80cdac",
2284
  2221 => x"08545472",
2285
  2222 => x"812e9b38",
2286
  2223 => x"7380dda8",
2287
  2224 => x"0cc3e33f",
2288
  2225 => x"c2ba3f80",
2289
  2226 => x"dcfc5281",
2290
  2227 => x"51c5a93f",
2291
  2228 => x"80085185",
2292
  2229 => x"bb3f7280",
2293
  2230 => x"dda80cc3",
2294
  2231 => x"c93fc2a0",
2295
  2232 => x"3f80dcfc",
2296
  2233 => x"528151c5",
2297
  2234 => x"8f3f8008",
2298
  2235 => x"5185a13f",
2299
  2236 => x"00ff3900",
2300
  2237 => x"ff39f53d",
2301
  2238 => x"0d7e6080",
2302
  2239 => x"dda80870",
2303
  2240 => x"5b585b5b",
2304
  2241 => x"7580c238",
2305
  2242 => x"777a25a1",
2306
  2243 => x"38771b70",
2307
  2244 => x"337081ff",
2308
  2245 => x"06585859",
2309
  2246 => x"758a2e98",
2310
  2247 => x"387681ff",
2311
  2248 => x"0651c2e1",
2312
  2249 => x"3f811858",
2313
  2250 => x"797824e1",
2314
  2251 => x"3879800c",
2315
  2252 => x"8d3d0d04",
2316
  2253 => x"8d51c2cd",
2317
  2254 => x"3f783370",
2318
  2255 => x"81ff0652",
2319
  2256 => x"57c2c23f",
2320
  2257 => x"811858e0",
2321
  2258 => x"3979557a",
2322
  2259 => x"547d5385",
2323
  2260 => x"528d3dfc",
2324
  2261 => x"0551c1ea",
2325
  2262 => x"3f800856",
2326
  2263 => x"84ab3f7b",
2327
  2264 => x"80080c75",
2328
  2265 => x"800c8d3d",
2329
  2266 => x"0d04f63d",
2330
  2267 => x"0d7d7f80",
2331
  2268 => x"dda80870",
2332
  2269 => x"5b585a5a",
2333
  2270 => x"7580c138",
2334
  2271 => x"777925b3",
2335
  2272 => x"38c1dd3f",
2336
  2273 => x"800881ff",
2337
  2274 => x"06708d32",
2338
  2275 => x"7030709f",
2339
  2276 => x"2a515157",
2340
  2277 => x"57768a2e",
2341
  2278 => x"80c33875",
2342
  2279 => x"802ebe38",
2343
  2280 => x"771a5676",
2344
  2281 => x"76347651",
2345
  2282 => x"c1db3f81",
2346
  2283 => x"18587878",
2347
  2284 => x"24cf3877",
2348
  2285 => x"5675800c",
2349
  2286 => x"8c3d0d04",
2350
  2287 => x"78557954",
2351
  2288 => x"7c538452",
2352
  2289 => x"8c3dfc05",
2353
  2290 => x"51c0f73f",
2354
  2291 => x"80085683",
2355
  2292 => x"b83f7a80",
2356
  2293 => x"080c7580",
2357
  2294 => x"0c8c3d0d",
2358
  2295 => x"04771a56",
2359
  2296 => x"8a763481",
2360
  2297 => x"18588d51",
2361
  2298 => x"c19b3f8a",
2362
  2299 => x"51c1963f",
2363
  2300 => x"7756c239",
2364
  2301 => x"fb3d0d80",
2365
  2302 => x"dda80870",
2366
  2303 => x"56547388",
2367
  2304 => x"3874800c",
2368
  2305 => x"873d0d04",
2369
  2306 => x"77538352",
2370
  2307 => x"873dfc05",
2371
  2308 => x"51c0af3f",
2372
  2309 => x"80085482",
2373
  2310 => x"f03f7580",
2374
  2311 => x"080c7380",
2375
  2312 => x"0c873d0d",
2376
  2313 => x"04fa3d0d",
2377
  2314 => x"80dda808",
2378
  2315 => x"802ea238",
2379
  2316 => x"7a557954",
2380
  2317 => x"78538652",
2381
  2318 => x"883dfc05",
2382
  2319 => x"51c0833f",
2383
  2320 => x"80085682",
2384
  2321 => x"c43f7680",
2385
  2322 => x"080c7580",
2386
  2323 => x"0c883d0d",
2387
  2324 => x"0482b63f",
2388
  2325 => x"9d0b8008",
2389
  2326 => x"0cff0b80",
2390
  2327 => x"0c883d0d",
2391
  2328 => x"04fb3d0d",
2392
  2329 => x"77795656",
2393
  2330 => x"80705454",
2394
  2331 => x"7375259f",
2395
  2332 => x"38741010",
2396
  2333 => x"10f80552",
2397
  2334 => x"72167033",
2398
  2335 => x"70742b76",
2399
  2336 => x"078116f8",
2400
  2337 => x"16565656",
2401
  2338 => x"51517473",
2402
  2339 => x"24ea3873",
2403
  2340 => x"800c873d",
2404
  2341 => x"0d04fc3d",
2405
  2342 => x"0d767855",
2406
  2343 => x"55bc5380",
2407
  2344 => x"527351f5",
2408
  2345 => x"ca3f8452",
2409
  2346 => x"7451ffb5",
2410
  2347 => x"3f800874",
2411
  2348 => x"23845284",
2412
  2349 => x"1551ffa9",
2413
  2350 => x"3f800882",
2414
  2351 => x"15238452",
2415
  2352 => x"881551ff",
2416
  2353 => x"9c3f8008",
2417
  2354 => x"84150c84",
2418
  2355 => x"528c1551",
2419
  2356 => x"ff8f3f80",
2420
  2357 => x"08881523",
2421
  2358 => x"84529015",
2422
  2359 => x"51ff823f",
2423
  2360 => x"80088a15",
2424
  2361 => x"23845294",
2425
  2362 => x"1551fef5",
2426
  2363 => x"3f80088c",
2427
  2364 => x"15238452",
2428
  2365 => x"981551fe",
2429
  2366 => x"e83f8008",
2430
  2367 => x"8e152388",
2431
  2368 => x"529c1551",
2432
  2369 => x"fedb3f80",
2433
  2370 => x"0890150c",
2434
  2371 => x"863d0d04",
2435
  2372 => x"e93d0d6a",
2436
  2373 => x"80dda808",
2437
  2374 => x"57577593",
2438
  2375 => x"3880c080",
2439
  2376 => x"0b84180c",
2440
  2377 => x"75ac180c",
2441
  2378 => x"75800c99",
2442
  2379 => x"3d0d0489",
2443
  2380 => x"3d70556a",
2444
  2381 => x"54558a52",
2445
  2382 => x"993dffbc",
2446
  2383 => x"0551ffbe",
2447
  2384 => x"813f8008",
2448
  2385 => x"77537552",
2449
  2386 => x"56fecb3f",
2450
  2387 => x"bc3f7780",
2451
  2388 => x"080c7580",
2452
  2389 => x"0c993d0d",
2453
  2390 => x"04fc3d0d",
2454
  2391 => x"815480dd",
2455
  2392 => x"a8088838",
2456
  2393 => x"73800c86",
2457
  2394 => x"3d0d0476",
2458
  2395 => x"5397b952",
2459
  2396 => x"863dfc05",
2460
  2397 => x"51ffbdca",
2461
  2398 => x"3f800854",
2462
  2399 => x"8c3f7480",
2463
  2400 => x"080c7380",
2464
  2401 => x"0c863d0d",
2465
  2402 => x"0480cdb8",
2466
  2403 => x"08800c04",
2467
  2404 => x"f73d0d7b",
2468
  2405 => x"80cdb808",
2469
  2406 => x"82c81108",
2470
  2407 => x"5a545a77",
2471
  2408 => x"802e80da",
2472
  2409 => x"38818818",
2473
  2410 => x"841908ff",
2474
  2411 => x"0581712b",
2475
  2412 => x"59555980",
2476
  2413 => x"742480ea",
2477
  2414 => x"38807424",
2478
  2415 => x"b5387382",
2479
  2416 => x"2b781188",
2480
  2417 => x"05565681",
2481
  2418 => x"80190877",
2482
  2419 => x"06537280",
2483
  2420 => x"2eb63878",
2484
  2421 => x"16700853",
2485
  2422 => x"53795174",
2486
  2423 => x"0853722d",
2487
  2424 => x"ff14fc17",
2488
  2425 => x"fc177981",
2489
  2426 => x"2c5a5757",
2490
  2427 => x"54738025",
2491
  2428 => x"d6387708",
2492
  2429 => x"5877ffad",
2493
  2430 => x"3880cdb8",
2494
  2431 => x"0853bc13",
2495
  2432 => x"08a53879",
2496
  2433 => x"51f9e93f",
2497
  2434 => x"74085372",
2498
  2435 => x"2dff14fc",
2499
  2436 => x"17fc1779",
2500
  2437 => x"812c5a57",
2501
  2438 => x"57547380",
2502
  2439 => x"25ffa838",
2503
  2440 => x"d1398057",
2504
  2441 => x"ff933972",
2505
  2442 => x"51bc1308",
2506
  2443 => x"53722d79",
2507
  2444 => x"51f9bd3f",
2508
  2445 => x"ff3d0d80",
2509
  2446 => x"dd840bfc",
2510
  2447 => x"05700852",
2511
  2448 => x"5270ff2e",
2512
  2449 => x"9138702d",
2513
  2450 => x"fc127008",
2514
  2451 => x"525270ff",
2515
  2452 => x"2e098106",
2516
  2453 => x"f138833d",
2517
  2454 => x"0d0404ff",
2518
  2455 => x"bdb53f04",
2519
  2456 => x"00000040",
2520
  2457 => x"48656c6c",
2521
  2458 => x"6f20586f",
2522
  2459 => x"726c6420",
2523
  2460 => x"310a0000",
2524
  2461 => x"48656c6c",
2525
  2462 => x"6f20586f",
2526
  2463 => x"726c6420",
2527
  2464 => x"320a0000",
2528
  2465 => x"0a000000",
2529
  2466 => x"43000000",
2530
  2467 => x"64756d6d",
2531
  2468 => x"792e6578",
2532
  2469 => x"65000000",
2533
  2470 => x"00ffffff",
2534
  2471 => x"ff00ffff",
2535
  2472 => x"ffff00ff",
2536
  2473 => x"ffffff00",
2537
  2474 => x"00000000",
2538
  2475 => x"00000000",
2539
  2476 => x"00000000",
2540
  2477 => x"00002e8c",
2541
  2478 => x"000026bc",
2542
  2479 => x"00000000",
2543
  2480 => x"00002924",
2544
  2481 => x"00002980",
2545
  2482 => x"000029dc",
2546
  2483 => x"00000000",
2547
  2484 => x"00000000",
2548
  2485 => x"00000000",
2549
  2486 => x"00000000",
2550
  2487 => x"00000000",
2551
  2488 => x"00000000",
2552
  2489 => x"00000000",
2553
  2490 => x"00000000",
2554
  2491 => x"00000000",
2555
  2492 => x"00002688",
2556
  2493 => x"00000000",
2557
  2494 => x"00000000",
2558
  2495 => x"00000000",
2559
  2496 => x"00000000",
2560
  2497 => x"00000000",
2561
  2498 => x"00000000",
2562
  2499 => x"00000000",
2563
  2500 => x"00000000",
2564
  2501 => x"00000000",
2565
  2502 => x"00000000",
2566
  2503 => x"00000000",
2567
  2504 => x"00000000",
2568
  2505 => x"00000000",
2569
  2506 => x"00000000",
2570
  2507 => x"00000000",
2571
  2508 => x"00000000",
2572
  2509 => x"00000000",
2573
  2510 => x"00000000",
2574
  2511 => x"00000000",
2575
  2512 => x"00000000",
2576
  2513 => x"00000000",
2577
  2514 => x"00000000",
2578
  2515 => x"00000000",
2579
  2516 => x"00000000",
2580
  2517 => x"00000000",
2581
  2518 => x"00000000",
2582
  2519 => x"00000000",
2583
  2520 => x"00000000",
2584
  2521 => x"00000001",
2585
  2522 => x"330eabcd",
2586
  2523 => x"1234e66d",
2587
  2524 => x"deec0005",
2588
  2525 => x"000b0000",
2589
  2526 => x"00000000",
2590
  2527 => x"00000000",
2591
  2528 => x"00000000",
2592
  2529 => x"00000000",
2593
  2530 => x"00000000",
2594
  2531 => x"00000000",
2595
  2532 => x"00000000",
2596
  2533 => x"00000000",
2597
  2534 => x"00000000",
2598
  2535 => x"00000000",
2599
  2536 => x"00000000",
2600
  2537 => x"00000000",
2601
  2538 => x"00000000",
2602
  2539 => x"00000000",
2603
  2540 => x"00000000",
2604
  2541 => x"00000000",
2605
  2542 => x"00000000",
2606
  2543 => x"00000000",
2607
  2544 => x"00000000",
2608
  2545 => x"00000000",
2609
  2546 => x"00000000",
2610
  2547 => x"00000000",
2611
  2548 => x"00000000",
2612
  2549 => x"00000000",
2613
  2550 => x"00000000",
2614
  2551 => x"00000000",
2615
  2552 => x"00000000",
2616
  2553 => x"00000000",
2617
  2554 => x"00000000",
2618
  2555 => x"00000000",
2619
  2556 => x"00000000",
2620
  2557 => x"00000000",
2621
  2558 => x"00000000",
2622
  2559 => x"00000000",
2623
  2560 => x"00000000",
2624
  2561 => x"00000000",
2625
  2562 => x"00000000",
2626
  2563 => x"00000000",
2627
  2564 => x"00000000",
2628
  2565 => x"00000000",
2629
  2566 => x"00000000",
2630
  2567 => x"00000000",
2631
  2568 => x"00000000",
2632
  2569 => x"00000000",
2633
  2570 => x"00000000",
2634
  2571 => x"00000000",
2635
  2572 => x"00000000",
2636
  2573 => x"00000000",
2637
  2574 => x"00000000",
2638
  2575 => x"00000000",
2639
  2576 => x"00000000",
2640
  2577 => x"00000000",
2641
  2578 => x"00000000",
2642
  2579 => x"00000000",
2643
  2580 => x"00000000",
2644
  2581 => x"00000000",
2645
  2582 => x"00000000",
2646
  2583 => x"00000000",
2647
  2584 => x"00000000",
2648
  2585 => x"00000000",
2649
  2586 => x"00000000",
2650
  2587 => x"00000000",
2651
  2588 => x"00000000",
2652
  2589 => x"00000000",
2653
  2590 => x"00000000",
2654
  2591 => x"00000000",
2655
  2592 => x"00000000",
2656
  2593 => x"00000000",
2657
  2594 => x"00000000",
2658
  2595 => x"00000000",
2659
  2596 => x"00000000",
2660
  2597 => x"00000000",
2661
  2598 => x"00000000",
2662
  2599 => x"00000000",
2663
  2600 => x"00000000",
2664
  2601 => x"00000000",
2665
  2602 => x"00000000",
2666
  2603 => x"00000000",
2667
  2604 => x"00000000",
2668
  2605 => x"00000000",
2669
  2606 => x"00000000",
2670
  2607 => x"00000000",
2671
  2608 => x"00000000",
2672
  2609 => x"00000000",
2673
  2610 => x"00000000",
2674
  2611 => x"00000000",
2675
  2612 => x"00000000",
2676
  2613 => x"00000000",
2677
  2614 => x"00000000",
2678
  2615 => x"00000000",
2679
  2616 => x"00000000",
2680
  2617 => x"00000000",
2681
  2618 => x"00000000",
2682
  2619 => x"00000000",
2683
  2620 => x"00000000",
2684
  2621 => x"00000000",
2685
  2622 => x"00000000",
2686
  2623 => x"00000000",
2687
  2624 => x"00000000",
2688
  2625 => x"00000000",
2689
  2626 => x"00000000",
2690
  2627 => x"00000000",
2691
  2628 => x"00000000",
2692
  2629 => x"00000000",
2693
  2630 => x"00000000",
2694
  2631 => x"00000000",
2695
  2632 => x"00000000",
2696
  2633 => x"00000000",
2697
  2634 => x"00000000",
2698
  2635 => x"00000000",
2699
  2636 => x"00000000",
2700
  2637 => x"00000000",
2701
  2638 => x"00000000",
2702
  2639 => x"00000000",
2703
  2640 => x"00000000",
2704
  2641 => x"00000000",
2705
  2642 => x"00000000",
2706
  2643 => x"00000000",
2707
  2644 => x"00000000",
2708
  2645 => x"00000000",
2709
  2646 => x"00000000",
2710
  2647 => x"00000000",
2711
  2648 => x"00000000",
2712
  2649 => x"00000000",
2713
  2650 => x"00000000",
2714
  2651 => x"00000000",
2715
  2652 => x"00000000",
2716
  2653 => x"00000000",
2717
  2654 => x"00000000",
2718
  2655 => x"00000000",
2719
  2656 => x"00000000",
2720
  2657 => x"00000000",
2721
  2658 => x"00000000",
2722
  2659 => x"00000000",
2723
  2660 => x"00000000",
2724
  2661 => x"00000000",
2725
  2662 => x"00000000",
2726
  2663 => x"00000000",
2727
  2664 => x"00000000",
2728
  2665 => x"00000000",
2729
  2666 => x"00000000",
2730
  2667 => x"00000000",
2731
  2668 => x"00000000",
2732
  2669 => x"00000000",
2733
  2670 => x"00000000",
2734
  2671 => x"00000000",
2735
  2672 => x"00000000",
2736
  2673 => x"00000000",
2737
  2674 => x"00000000",
2738
  2675 => x"00000000",
2739
  2676 => x"00000000",
2740
  2677 => x"00000000",
2741
  2678 => x"00000000",
2742
  2679 => x"00000000",
2743
  2680 => x"00000000",
2744
  2681 => x"00000000",
2745
  2682 => x"00000000",
2746
  2683 => x"00000000",
2747
  2684 => x"00000000",
2748
  2685 => x"00000000",
2749
  2686 => x"00000000",
2750
  2687 => x"00000000",
2751
  2688 => x"00000000",
2752
  2689 => x"00000000",
2753
  2690 => x"00000000",
2754
  2691 => x"00000000",
2755
  2692 => x"00000000",
2756
  2693 => x"00000000",
2757
  2694 => x"00000000",
2758
  2695 => x"00000000",
2759
  2696 => x"00000000",
2760
  2697 => x"00000000",
2761
  2698 => x"00000000",
2762
  2699 => x"00000000",
2763
  2700 => x"00000000",
2764
  2701 => x"00000000",
2765
  2702 => x"00000000",
2766
  2703 => x"00000000",
2767
  2704 => x"00000000",
2768
  2705 => x"00000000",
2769
  2706 => x"00000000",
2770
  2707 => x"00000000",
2771
  2708 => x"00000000",
2772
  2709 => x"00000000",
2773
  2710 => x"00000000",
2774
  2711 => x"00000000",
2775
  2712 => x"00000000",
2776
  2713 => x"00000000",
2777
  2714 => x"ffffffff",
2778
  2715 => x"00000000",
2779
  2716 => x"00020000",
2780
  2717 => x"00000000",
2781
  2718 => x"00000000",
2782
  2719 => x"00002a74",
2783
  2720 => x"00002a74",
2784
  2721 => x"00002a7c",
2785
  2722 => x"00002a7c",
2786
  2723 => x"00002a84",
2787
  2724 => x"00002a84",
2788
  2725 => x"00002a8c",
2789
  2726 => x"00002a8c",
2790
  2727 => x"00002a94",
2791
  2728 => x"00002a94",
2792
  2729 => x"00002a9c",
2793
  2730 => x"00002a9c",
2794
  2731 => x"00002aa4",
2795
  2732 => x"00002aa4",
2796
  2733 => x"00002aac",
2797
  2734 => x"00002aac",
2798
  2735 => x"00002ab4",
2799
  2736 => x"00002ab4",
2800
  2737 => x"00002abc",
2801
  2738 => x"00002abc",
2802
  2739 => x"00002ac4",
2803
  2740 => x"00002ac4",
2804
  2741 => x"00002acc",
2805
  2742 => x"00002acc",
2806
  2743 => x"00002ad4",
2807
  2744 => x"00002ad4",
2808
  2745 => x"00002adc",
2809
  2746 => x"00002adc",
2810
  2747 => x"00002ae4",
2811
  2748 => x"00002ae4",
2812
  2749 => x"00002aec",
2813
  2750 => x"00002aec",
2814
  2751 => x"00002af4",
2815
  2752 => x"00002af4",
2816
  2753 => x"00002afc",
2817
  2754 => x"00002afc",
2818
  2755 => x"00002b04",
2819
  2756 => x"00002b04",
2820
  2757 => x"00002b0c",
2821
  2758 => x"00002b0c",
2822
  2759 => x"00002b14",
2823
  2760 => x"00002b14",
2824
  2761 => x"00002b1c",
2825
  2762 => x"00002b1c",
2826
  2763 => x"00002b24",
2827
  2764 => x"00002b24",
2828
  2765 => x"00002b2c",
2829
  2766 => x"00002b2c",
2830
  2767 => x"00002b34",
2831
  2768 => x"00002b34",
2832
  2769 => x"00002b3c",
2833
  2770 => x"00002b3c",
2834
  2771 => x"00002b44",
2835
  2772 => x"00002b44",
2836
  2773 => x"00002b4c",
2837
  2774 => x"00002b4c",
2838
  2775 => x"00002b54",
2839
  2776 => x"00002b54",
2840
  2777 => x"00002b5c",
2841
  2778 => x"00002b5c",
2842
  2779 => x"00002b64",
2843
  2780 => x"00002b64",
2844
  2781 => x"00002b6c",
2845
  2782 => x"00002b6c",
2846
  2783 => x"00002b74",
2847
  2784 => x"00002b74",
2848
  2785 => x"00002b7c",
2849
  2786 => x"00002b7c",
2850
  2787 => x"00002b84",
2851
  2788 => x"00002b84",
2852
  2789 => x"00002b8c",
2853
  2790 => x"00002b8c",
2854
  2791 => x"00002b94",
2855
  2792 => x"00002b94",
2856
  2793 => x"00002b9c",
2857
  2794 => x"00002b9c",
2858
  2795 => x"00002ba4",
2859
  2796 => x"00002ba4",
2860
  2797 => x"00002bac",
2861
  2798 => x"00002bac",
2862
  2799 => x"00002bb4",
2863
  2800 => x"00002bb4",
2864
  2801 => x"00002bbc",
2865
  2802 => x"00002bbc",
2866
  2803 => x"00002bc4",
2867
  2804 => x"00002bc4",
2868
  2805 => x"00002bcc",
2869
  2806 => x"00002bcc",
2870
  2807 => x"00002bd4",
2871
  2808 => x"00002bd4",
2872
  2809 => x"00002bdc",
2873
  2810 => x"00002bdc",
2874
  2811 => x"00002be4",
2875
  2812 => x"00002be4",
2876
  2813 => x"00002bec",
2877
  2814 => x"00002bec",
2878
  2815 => x"00002bf4",
2879
  2816 => x"00002bf4",
2880
  2817 => x"00002bfc",
2881
  2818 => x"00002bfc",
2882
  2819 => x"00002c04",
2883
  2820 => x"00002c04",
2884
  2821 => x"00002c0c",
2885
  2822 => x"00002c0c",
2886
  2823 => x"00002c14",
2887
  2824 => x"00002c14",
2888
  2825 => x"00002c1c",
2889
  2826 => x"00002c1c",
2890
  2827 => x"00002c24",
2891
  2828 => x"00002c24",
2892
  2829 => x"00002c2c",
2893
  2830 => x"00002c2c",
2894
  2831 => x"00002c34",
2895
  2832 => x"00002c34",
2896
  2833 => x"00002c3c",
2897
  2834 => x"00002c3c",
2898
  2835 => x"00002c44",
2899
  2836 => x"00002c44",
2900
  2837 => x"00002c4c",
2901
  2838 => x"00002c4c",
2902
  2839 => x"00002c54",
2903
  2840 => x"00002c54",
2904
  2841 => x"00002c5c",
2905
  2842 => x"00002c5c",
2906
  2843 => x"00002c64",
2907
  2844 => x"00002c64",
2908
  2845 => x"00002c6c",
2909
  2846 => x"00002c6c",
2910
  2847 => x"00002c74",
2911
  2848 => x"00002c74",
2912
  2849 => x"00002c7c",
2913
  2850 => x"00002c7c",
2914
  2851 => x"00002c84",
2915
  2852 => x"00002c84",
2916
  2853 => x"00002c8c",
2917
  2854 => x"00002c8c",
2918
  2855 => x"00002c94",
2919
  2856 => x"00002c94",
2920
  2857 => x"00002c9c",
2921
  2858 => x"00002c9c",
2922
  2859 => x"00002ca4",
2923
  2860 => x"00002ca4",
2924
  2861 => x"00002cac",
2925
  2862 => x"00002cac",
2926
  2863 => x"00002cb4",
2927
  2864 => x"00002cb4",
2928
  2865 => x"00002cbc",
2929
  2866 => x"00002cbc",
2930
  2867 => x"00002cc4",
2931
  2868 => x"00002cc4",
2932
  2869 => x"00002ccc",
2933
  2870 => x"00002ccc",
2934
  2871 => x"00002cd4",
2935
  2872 => x"00002cd4",
2936
  2873 => x"00002cdc",
2937
  2874 => x"00002cdc",
2938
  2875 => x"00002ce4",
2939
  2876 => x"00002ce4",
2940
  2877 => x"00002cec",
2941
  2878 => x"00002cec",
2942
  2879 => x"00002cf4",
2943
  2880 => x"00002cf4",
2944
  2881 => x"00002cfc",
2945
  2882 => x"00002cfc",
2946
  2883 => x"00002d04",
2947
  2884 => x"00002d04",
2948
  2885 => x"00002d0c",
2949
  2886 => x"00002d0c",
2950
  2887 => x"00002d14",
2951
  2888 => x"00002d14",
2952
  2889 => x"00002d1c",
2953
  2890 => x"00002d1c",
2954
  2891 => x"00002d24",
2955
  2892 => x"00002d24",
2956
  2893 => x"00002d2c",
2957
  2894 => x"00002d2c",
2958
  2895 => x"00002d34",
2959
  2896 => x"00002d34",
2960
  2897 => x"00002d3c",
2961
  2898 => x"00002d3c",
2962
  2899 => x"00002d44",
2963
  2900 => x"00002d44",
2964
  2901 => x"00002d4c",
2965
  2902 => x"00002d4c",
2966
  2903 => x"00002d54",
2967
  2904 => x"00002d54",
2968
  2905 => x"00002d5c",
2969
  2906 => x"00002d5c",
2970
  2907 => x"00002d64",
2971
  2908 => x"00002d64",
2972
  2909 => x"00002d6c",
2973
  2910 => x"00002d6c",
2974
  2911 => x"00002d74",
2975
  2912 => x"00002d74",
2976
  2913 => x"00002d7c",
2977
  2914 => x"00002d7c",
2978
  2915 => x"00002d84",
2979
  2916 => x"00002d84",
2980
  2917 => x"00002d8c",
2981
  2918 => x"00002d8c",
2982
  2919 => x"00002d94",
2983
  2920 => x"00002d94",
2984
  2921 => x"00002d9c",
2985
  2922 => x"00002d9c",
2986
  2923 => x"00002da4",
2987
  2924 => x"00002da4",
2988
  2925 => x"00002dac",
2989
  2926 => x"00002dac",
2990
  2927 => x"00002db4",
2991
  2928 => x"00002db4",
2992
  2929 => x"00002dbc",
2993
  2930 => x"00002dbc",
2994
  2931 => x"00002dc4",
2995
  2932 => x"00002dc4",
2996
  2933 => x"00002dcc",
2997
  2934 => x"00002dcc",
2998
  2935 => x"00002dd4",
2999
  2936 => x"00002dd4",
3000
  2937 => x"00002ddc",
3001
  2938 => x"00002ddc",
3002
  2939 => x"00002de4",
3003
  2940 => x"00002de4",
3004
  2941 => x"00002dec",
3005
  2942 => x"00002dec",
3006
  2943 => x"00002df4",
3007
  2944 => x"00002df4",
3008
  2945 => x"00002dfc",
3009
  2946 => x"00002dfc",
3010
  2947 => x"00002e04",
3011
  2948 => x"00002e04",
3012
  2949 => x"00002e0c",
3013
  2950 => x"00002e0c",
3014
  2951 => x"00002e14",
3015
  2952 => x"00002e14",
3016
  2953 => x"00002e1c",
3017
  2954 => x"00002e1c",
3018
  2955 => x"00002e24",
3019
  2956 => x"00002e24",
3020
  2957 => x"00002e2c",
3021
  2958 => x"00002e2c",
3022
  2959 => x"00002e34",
3023
  2960 => x"00002e34",
3024
  2961 => x"00002e3c",
3025
  2962 => x"00002e3c",
3026
  2963 => x"00002e44",
3027
  2964 => x"00002e44",
3028
  2965 => x"00002e4c",
3029
  2966 => x"00002e4c",
3030
  2967 => x"00002e54",
3031
  2968 => x"00002e54",
3032
  2969 => x"00002e5c",
3033
  2970 => x"00002e5c",
3034
  2971 => x"00002e64",
3035
  2972 => x"00002e64",
3036
  2973 => x"00002e6c",
3037
  2974 => x"00002e6c",
3038
  2975 => x"0000268c",
3039
  2976 => x"ffffffff",
3040
  2977 => x"00000000",
3041
  2978 => x"ffffffff",
3042
  2979 => x"00000000",
3043
  2980 => x"00000000",
3044 93 oharboe
        others => x"00000000"
3045
);
3046
 
3047
begin
3048
 
3049
process (clk)
3050
begin
3051
        if (clk'event and clk = '1') then
3052
                if (memAWriteEnable = '1') and (memBWriteEnable = '1') and (memAAddr=memBAddr) and (memAWrite/=memBWrite) then
3053
                        report "write collision" severity failure;
3054
                end if;
3055
 
3056
                if (memAWriteEnable = '1') then
3057
                        ram(to_integer(unsigned(memAAddr))) := memAWrite;
3058
                        memARead <= memAWrite;
3059
                else
3060
                        memARead <= ram(to_integer(unsigned(memAAddr)));
3061
                end if;
3062
        end if;
3063
end process;
3064
 
3065
process (clk)
3066
begin
3067
        if (clk'event and clk = '1') then
3068
                if (memBWriteEnable = '1') then
3069
                        ram(to_integer(unsigned(memBAddr))) := memBWrite;
3070
                        memBRead <= memBWrite;
3071
                else
3072
                        memBRead <= ram(to_integer(unsigned(memBAddr)));
3073
                end if;
3074
        end if;
3075
end process;
3076
 
3077
 
3078
 
3079
 
3080
end dualport_ram_arch;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.