OpenCores
URL https://opencores.org/ocsvn/zx_ula/zx_ula/trunk

Subversion Repositories zx_ula

[/] [zx_ula/] [branches/] [xilinx/] [spectrum_48k_spartan3a_for_gameduino_mod_vga_timex_hicolor_ulaplus/] [tv80_to_t80_wrapper.v] - Blame information for rev 29

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 29 mcleod_ide
`timescale 1ns / 1ps
2
//////////////////////////////////////////////////////////////////////////////////
3
// Company: 
4
// Engineer: 
5
// 
6
// Create Date:    03:39:55 05/13/2012 
7
// Design Name: 
8
// Module Name:    tv80_to_t80_wrapper 
9
// Project Name: 
10
// Target Devices: 
11
// Tool versions: 
12
// Description: 
13
//
14
// Dependencies: 
15
//
16
// Revision: 
17
// Revision 0.01 - File Created
18
// Additional Comments: 
19
//
20
//////////////////////////////////////////////////////////////////////////////////
21
 
22
module tv80n_wrapper (
23
  // Outputs
24
  m1_n, mreq_n, iorq_n, rd_n, wr_n, rfsh_n, halt_n, busak_n, A, dout,
25
  // Inputs
26
  reset_n, clk, wait_n, int_n, nmi_n, busrq_n, di
27
  );
28
 
29
  input         reset_n;
30
  input         clk;
31
  input         wait_n;
32
  input         int_n;
33
  input         nmi_n;
34
  input         busrq_n;
35
  output        m1_n;
36
  output        mreq_n;
37
  output        iorq_n;
38
  output        rd_n;
39
  output        wr_n;
40
  output        rfsh_n;
41
  output        halt_n;
42
  output        busak_n;
43
  output [15:0] A;
44
  input [7:0]   di;
45
  output [7:0]  dout;
46
 
47
  wire [7:0] d;
48
 
49
  T80a TheCPU (
50
                .RESET_n(reset_n),
51
                .CLK_n(clk),
52
                .WAIT_n(wait_n),
53
                .INT_n(int_n),
54
                .NMI_n(nmi_n),
55
                .BUSRQ_n(busrq_n),
56
                .M1_n(m1_n),
57
                .MREQ_n(mreq_n),
58
                .IORQ_n(iorq_n),
59
                .RD_n(rd_n),
60
                .WR_n(wr_n),
61
                .RFSH_n(rfsh_n),
62
                .HALT_n(halt_n),
63
                .BUSAK_n(busak_n),
64
                .A(A),
65
                .D(d)
66
        );
67
 
68
        assign dout = (!wr_n && rd_n)? d : 8'bzzzzzzzz;
69
        assign d = (!rd_n && wr_n)? di : 8'bzzzzzzzz;
70
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.