URL
https://opencores.org/ocsvn/System09/System09/trunk
Subversion Repositories System09
Compare Revisions
- This comparison shows the changes necessary to convert path
/System09
- from Rev 205 to Rev 206
- ↔ Reverse comparison
Rev 205 → Rev 206
/trunk/rtl/VHDL/vdu8_spram.vhd
126,7 → 126,8
-- 0.4 John Kent 2010-07-03 Added GPL notice. |
-- Updated description. |
-- Rearranged Video Timing |
-- |
-- 0.5 David Burnette 2021-02-19 Changed video memory to use parameterized block |
-- memory instead of instantiating hard ram macros. |
|
Library IEEE; |
use IEEE.std_logic_1164.all; |
269,17 → 270,6
); |
end component; |
|
-- component ram_2k |
-- port ( |
-- clk : in std_logic; |
-- rst : in std_logic; |
-- cs : in std_logic; |
-- rw : in std_logic; |
-- addr : in std_logic_vector (10 downto 0); |
-- data_in : in std_logic_vector (7 downto 0); |
-- data_out : out std_logic_vector (7 downto 0) |
-- ); |
-- end component; |
|
component block_spram |
generic ( |
314,15 → 304,6
-- |
-- Character buffer RAM |
-- |
--char_buff_ram : ram_2k port map( |
-- clk => vga_clk, |
-- rst => vdu_rst, |
-- cs => vga_cs, |
-- rw => vga_rw, |
-- addr => vga_addr, |
-- data_in => reg_character, |
-- data_out => vga_data_out |
-- ); |
char_buff_ram : block_spram |
generic map( dwidth => 8, awidth => 11) -- 2k bytes |
port map( |
338,15 → 319,6
-- |
-- Attribute buffer RAM |
-- |
--attr_buff_ram : ram_2k port map( |
-- clk => vga_clk, |
-- rst => vdu_rst, |
-- cs => vga_cs, |
-- rw => vga_rw, |
-- addr => vga_addr, |
-- data_in => reg_colour, |
-- data_out => attr_data_out |
-- ); |
attr_buff_ram : block_spram |
generic map( dwidth => 8, awidth => 11) -- 2k bytes |
port map( |