OpenCores
URL https://opencores.org/ocsvn/System09/System09/trunk

Subversion Repositories System09

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /System09/trunk/rtl/System09_Xess_XSA-3S1000
    from Rev 69 to Rev 84
    Reverse comparison

Rev 69 → Rev 84

/Makefile
46,6 → 46,8
# List of ROM VHDL files
.PHONY: roms
roms:
@$(MAKE) -C ../../Tools/as09
@$(MAKE) -C ../../Tools/s19tovhd
@$(MAKE) -C ../../src/sys09bug sys09xes.vhd
@$(MAKE) -C ../../src/Flex9 flex9ide.vhd
 
127,6 → 129,7
@$(ECHO) " For project maintenance:"
@$(ECHO) " help - Print this help text"
@$(ECHO) " clean - Clean up the ISE files"
@$(ECHO) " cleanall - Clean up the ISE files and the Tools directories"
@$(ECHO) ""
 
.PHONY: clean
140,3 → 143,8
-$(RM) *.pad_txt $(DESIGN_NAME)_impact.cmd *.unroutes
-$(RMDIR) _ngo _xmsgs
 
.PHONY: cleanall
cleanall: clean
-$(MAKE) -C ../../Tools/as09 clean
-$(MAKE) -C ../../Tools/s19tovhd clean
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.