OpenCores
URL https://opencores.org/ocsvn/System09/System09/trunk

Subversion Repositories System09

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /System09/trunk
    from Rev 156 to Rev 157
    Reverse comparison

Rev 156 → Rev 157

/rtl/System09_Digilent_Atlys/system09.prj
1,6 → 1,6
vhdl work "../VHDL/bit_funcs.vhd"
vhdl work "../../src/sys09bug/sys09xes.vhd"
vhdl work "../../src/Flex9/flex9ide.vhd"
vhdl work "../../src/sys09bug/sys09swt.vhd"
vhdl work "../../src/Flex9/flex9ram.vhd"
vhdl work "../VHDL/trap.vhd"
vhdl work "../VHDL/timer.vhd"
vhdl work "../VHDL/datram.vhd"

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.