OpenCores
URL https://opencores.org/ocsvn/System09/System09/trunk

Subversion Repositories System09

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /System09/trunk
    from Rev 219 to Rev 220
    Reverse comparison

Rev 219 → Rev 220

/src/sys09bug/sys09xes.vhd
194,7 → 194,7
INIT_31 => x"FB0274FB0139FEDFF702E7FBDFF75FE4205F03E7FCDFF7082719C15CFCDFF6F4",
INIT_32 => x"505EFA4CA5F847FDF8455CF94248FB1953FB183DFB1531FB105EFB047FFB0369",
INIT_33 => x"94F9A7F8A7F8A7F8A7F894F992FC55D5F94488F958F1F853EDFB52A8F84DBCFA",
INIT_34 => x"2D205353455820524F4620372E312047554239305359530000000A0DFFFFFFFF",
INIT_34 => x"2D205353455820524F4620372E312067754239307379530000000A0DFFFFFFFF",
INIT_35 => x"3D43502020043D5053202004202D20043F54414857043E040000000A0D4B0420",
INIT_36 => x"2020043D412020043D50442020043D58492020043D59492020043D5355202004",
INIT_37 => x"000000000000000000000004315343565A4E4948464504203A43432020043D42",

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.